From 561d0a6545879f024d20bff9aaec5dcd0aa9bcd6 Mon Sep 17 00:00:00 2001 From: tangxifan <tangxifan@gmail.com> Date: Tue, 6 Sep 2022 14:04:23 -0700 Subject: [PATCH 1/3] [test] add more test case to track golden outputs for representative fpga sizes --- .../no_time_stamp_example_script.openfpga | 2 +- .../no_time_stamp/device_1x1/config/task.conf | 36 +++++++++++++++++++ .../no_time_stamp/device_4x4/config/task.conf | 36 +++++++++++++++++++ 3 files changed, 73 insertions(+), 1 deletion(-) create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/config/task.conf create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/config/task.conf diff --git a/openfpga_flow/openfpga_shell_scripts/no_time_stamp_example_script.openfpga b/openfpga_flow/openfpga_shell_scripts/no_time_stamp_example_script.openfpga index 249e6f68f..d75f5f76f 100644 --- a/openfpga_flow/openfpga_shell_scripts/no_time_stamp_example_script.openfpga +++ b/openfpga_flow/openfpga_shell_scripts/no_time_stamp_example_script.openfpga @@ -2,7 +2,7 @@ # This script is designed to test the option --no_time_stamp in related commands # It can NOT be used an example script to achieve other objectives #--write_rr_graph example_rr_graph.xml -vpr ${VPR_ARCH_FILE} ${VPR_TESTBENCH_BLIF} --route_chan_width ${OPENFPGA_VPR_ROUTE_CHAN_WIDTH} --clock_modeling route +vpr ${VPR_ARCH_FILE} ${VPR_TESTBENCH_BLIF} --device ${OPENFPGA_VPR_DEVICE_LAYOUT} --route_chan_width ${OPENFPGA_VPR_ROUTE_CHAN_WIDTH} --clock_modeling route # Read OpenFPGA architecture definition read_openfpga_arch -f ${OPENFPGA_ARCH_FILE} diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/config/task.conf b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/config/task.conf new file mode 100644 index 000000000..df67e0dcd --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/config/task.conf @@ -0,0 +1,36 @@ +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = +# Configuration file for running experiments +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = +# timeout_each_job : FPGA Task script splits fpga flow into multiple jobs +# Each job execute fpga_flow script on combination of architecture & benchmark +# timeout_each_job is timeout for each job +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = + +[GENERAL] +run_engine=openfpga_shell +power_tech_file = ${PATH:OPENFPGA_PATH}/openfpga_flow/tech/PTM_45nm/45nm.xml +power_analysis = true +spice_output=false +verilog_output=true +timeout_each_job = 20*60 +fpga_flow=yosys_vpr + +[OpenFPGA_SHELL] +openfpga_shell_template=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_shell_scripts/no_time_stamp_example_script.openfpga +openfpga_arch_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_arch/k4_N4_40nm_cc_openfpga.xml +openfpga_sim_setting_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_simulation_settings/auto_sim_openfpga.xml +openfpga_vpr_device_layout = auto +openfpga_vpr_route_chan_width = 26 +openfpga_output_dir=${PATH:TASK_DIR}/golden_outputs_no_time_stamp + +[ARCHITECTURES] +arch0=${PATH:OPENFPGA_PATH}/openfpga_flow/vpr_arch/k4_N4_tileable_40nm.xml + +[BENCHMARKS] +bench0=${PATH:OPENFPGA_PATH}/openfpga_flow/benchmarks/micro_benchmark/and2/and2.v + +[SYNTHESIS_PARAM] +bench_read_verilog_options_common = -nolatches +bench0_top = and2 + +[SCRIPT_PARAM_MIN_ROUTE_CHAN_WIDTH] diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/config/task.conf b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/config/task.conf new file mode 100644 index 000000000..ad638d323 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/config/task.conf @@ -0,0 +1,36 @@ +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = +# Configuration file for running experiments +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = +# timeout_each_job : FPGA Task script splits fpga flow into multiple jobs +# Each job execute fpga_flow script on combination of architecture & benchmark +# timeout_each_job is timeout for each job +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = + +[GENERAL] +run_engine=openfpga_shell +power_tech_file = ${PATH:OPENFPGA_PATH}/openfpga_flow/tech/PTM_45nm/45nm.xml +power_analysis = true +spice_output=false +verilog_output=true +timeout_each_job = 20*60 +fpga_flow=yosys_vpr + +[OpenFPGA_SHELL] +openfpga_shell_template=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_shell_scripts/no_time_stamp_example_script.openfpga +openfpga_arch_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_arch/k4_N4_40nm_cc_openfpga.xml +openfpga_sim_setting_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_simulation_settings/auto_sim_openfpga.xml +openfpga_vpr_device_layout = 4x4 +openfpga_vpr_route_chan_width = 20 +openfpga_output_dir=${PATH:TASK_DIR}/golden_outputs_no_time_stamp + +[ARCHITECTURES] +arch0=${PATH:OPENFPGA_PATH}/openfpga_flow/vpr_arch/k4_N4_tileable_40nm.xml + +[BENCHMARKS] +bench0=${PATH:OPENFPGA_PATH}/openfpga_flow/benchmarks/micro_benchmark/and2/and2.v + +[SYNTHESIS_PARAM] +bench_read_verilog_options_common = -nolatches +bench0_top = and2 + +[SCRIPT_PARAM_MIN_ROUTE_CHAN_WIDTH] From 93ab992187141892cc67e7fa3b64c9816f0a5309 Mon Sep 17 00:00:00 2001 From: tangxifan <tangxifan@gmail.com> Date: Tue, 6 Sep 2022 14:59:00 -0700 Subject: [PATCH 2/3] [test] update golden outputs without time stamps --- .../regression_test_scripts/basic_reg_test.sh | 7 +- .../no_time_stamp/config/task.conf | 35 - .../and2_formal_random_top_tb.v | 0 .../and2_fpga_top_analysis.sdc | 0 .../and2_include_netlists.v | 6 +- .../and2_top_formal_verification.v | 0 .../bitstream_distribution.xml | 0 .../cbx_1__0_.sdc | 0 .../cbx_1__1_.sdc | 0 .../cby_0__1_.sdc | 0 .../cby_1__1_.sdc | 0 .../ccff_timing.sdc | 0 .../disable_configurable_memory_outputs.sdc | 0 .../disable_configure_ports.sdc | 0 .../disable_routing_multiplexer_outputs.sdc | 0 .../disable_sb_outputs.sdc | 0 .../fabric_bitstream.bit | 0 .../fabric_bitstream.xml | 0 .../fabric_independent_bitstream.xml | 0 .../fabric_io_location.xml | 0 .../fabric_netlists.v | 53 + .../fpga_defines.v | 0 .../golden_outputs_no_time_stamp/fpga_top.v | 0 .../global_ports.sdc | 0 .../gsb_xml/cbx_0__0_.xml | 0 .../gsb_xml/cbx_0__1_.xml | 0 .../gsb_xml/cbx_1__0_.xml | 0 .../gsb_xml/cbx_1__1_.xml | 0 .../gsb_xml/cby_0__1_.xml | 0 .../gsb_xml/cby_0__2_.xml | 0 .../gsb_xml/cby_1__1_.xml | 0 .../gsb_xml/cby_1__2_.xml | 0 .../gsb_xml/sb_0__0_.xml | 0 .../gsb_xml/sb_0__1_.xml | 0 .../gsb_xml/sb_1__0_.xml | 0 .../gsb_xml/sb_1__1_.xml | 0 .../gsb_xml_no_rr_info/cbx_0__0_.xml | 0 .../gsb_xml_no_rr_info/cbx_0__1_.xml | 0 .../gsb_xml_no_rr_info/cbx_1__0_.xml | 0 .../gsb_xml_no_rr_info/cbx_1__1_.xml | 0 .../gsb_xml_no_rr_info/cby_0__1_.xml | 0 .../gsb_xml_no_rr_info/cby_0__2_.xml | 0 .../gsb_xml_no_rr_info/cby_1__1_.xml | 0 .../gsb_xml_no_rr_info/cby_1__2_.xml | 0 .../gsb_xml_no_rr_info/sb_0__0_.xml | 0 .../gsb_xml_no_rr_info/sb_0__1_.xml | 0 .../gsb_xml_no_rr_info/sb_1__0_.xml | 0 .../gsb_xml_no_rr_info/sb_1__1_.xml | 0 .../lb/grid_clb.v | 0 .../lb/grid_io_bottom.v | 0 .../lb/grid_io_left.v | 0 .../lb/grid_io_right.v | 0 .../lb/grid_io_top.v | 0 .../lb/logical_tile_clb_mode_clb_.v | 0 .../lb/logical_tile_clb_mode_default__fle.v | 0 ...clb_mode_default__fle_mode_n1_lut4__ble4.v | 0 ..._fle_mode_n1_lut4__ble4_mode_default__ff.v | 0 ...le_mode_n1_lut4__ble4_mode_default__lut4.v | 0 .../lb/logical_tile_io_mode_io_.v | 0 .../lb/logical_tile_io_mode_physical__iopad.v | 0 .../logical_tile_clb_mode_clb_.sdc | 0 .../logical_tile_clb_mode_default__fle.sdc | 0 ...b_mode_default__fle_mode_n1_lut4__ble4.sdc | 0 ...le_mode_n1_lut4__ble4_mode_default__ff.sdc | 0 ..._mode_n1_lut4__ble4_mode_default__lut4.sdc | 0 .../logical_tile_io_mode_io_.sdc | 0 .../pin_mapping.xml | 0 .../routing/cbx_1__0_.v | 0 .../routing/cbx_1__1_.v | 0 .../routing/cby_0__1_.v | 0 .../routing/cby_1__1_.v | 0 .../routing/sb_0__0_.v | 0 .../routing/sb_0__1_.v | 0 .../routing/sb_1__0_.v | 0 .../routing/sb_1__1_.v | 0 .../golden_outputs_no_time_stamp/sb_0__0_.sdc | 0 .../golden_outputs_no_time_stamp/sb_0__1_.sdc | 0 .../golden_outputs_no_time_stamp/sb_1__0_.sdc | 0 .../golden_outputs_no_time_stamp/sb_1__1_.sdc | 0 .../sub_module/arch_encoder.v | 0 .../sub_module/inv_buf_passgate.v | 0 .../sub_module/local_encoder.v | 0 .../sub_module/luts.v | 0 .../sub_module/memories.v | 0 .../sub_module/mux_primitives.v | 0 .../sub_module/muxes.v | 0 .../sub_module/shift_register_banks.v | 0 .../sub_module/user_defined_templates.v | 0 .../sub_module/wires.v | 0 .../and2_formal_random_top_tb.v | 126 + .../and2_fpga_top_analysis.sdc | 10229 +++++++ .../and2_include_netlists.v | 16 + .../and2_top_formal_verification.v | 2532 ++ .../bitstream_distribution.xml | 208 + .../cbx_1__0_.sdc | 75 + .../cbx_1__1_.sdc | 53 + .../cbx_1__4_.sdc | 75 + .../cby_0__1_.sdc | 71 + .../cby_1__1_.sdc | 47 + .../cby_4__1_.sdc | 73 + .../ccff_timing.sdc | 8431 ++++++ .../disable_configurable_memory_outputs.sdc | 150 + .../disable_configure_ports.sdc | 146 + .../disable_routing_multiplexer_outputs.sdc | 74 + .../disable_sb_outputs.sdc | 74 + .../fabric_bitstream.bit | 4213 +++ .../fabric_bitstream.xml | 8430 ++++++ .../fabric_independent_bitstream.xml | 24233 ++++++++++++++++ .../fabric_io_location.xml | 135 + .../fabric_netlists.v | 60 + .../fpga_defines.v | 11 + .../golden_outputs_no_time_stamp/fpga_top.v | 2863 ++ .../global_ports.sdc | 21 + .../gsb_xml/cbx_0__0_.xml | 2 + .../gsb_xml/cbx_0__1_.xml | 2 + .../gsb_xml/cbx_0__2_.xml | 2 + .../gsb_xml/cbx_0__3_.xml | 2 + .../gsb_xml/cbx_0__4_.xml | 2 + .../gsb_xml/cbx_1__0_.xml | 66 + .../gsb_xml/cbx_1__1_.xml | 34 + .../gsb_xml/cbx_1__2_.xml | 34 + .../gsb_xml/cbx_1__3_.xml | 34 + .../gsb_xml/cbx_1__4_.xml | 66 + .../gsb_xml/cbx_2__0_.xml | 66 + .../gsb_xml/cbx_2__1_.xml | 34 + .../gsb_xml/cbx_2__2_.xml | 34 + .../gsb_xml/cbx_2__3_.xml | 34 + .../gsb_xml/cbx_2__4_.xml | 66 + .../gsb_xml/cbx_3__0_.xml | 66 + .../gsb_xml/cbx_3__1_.xml | 34 + .../gsb_xml/cbx_3__2_.xml | 34 + .../gsb_xml/cbx_3__3_.xml | 34 + .../gsb_xml/cbx_3__4_.xml | 66 + .../gsb_xml/cbx_4__0_.xml | 66 + .../gsb_xml/cbx_4__1_.xml | 34 + .../gsb_xml/cbx_4__2_.xml | 34 + .../gsb_xml/cbx_4__3_.xml | 34 + .../gsb_xml/cbx_4__4_.xml | 66 + .../gsb_xml/cby_0__1_.xml | 60 + .../gsb_xml/cby_0__2_.xml | 60 + .../gsb_xml/cby_0__3_.xml | 60 + .../gsb_xml/cby_0__4_.xml | 60 + .../gsb_xml/cby_0__5_.xml | 2 + .../gsb_xml/cby_1__1_.xml | 26 + .../gsb_xml/cby_1__2_.xml | 26 + .../gsb_xml/cby_1__3_.xml | 26 + .../gsb_xml/cby_1__4_.xml | 26 + .../gsb_xml/cby_1__5_.xml | 2 + .../gsb_xml/cby_2__1_.xml | 26 + .../gsb_xml/cby_2__2_.xml | 26 + .../gsb_xml/cby_2__3_.xml | 26 + .../gsb_xml/cby_2__4_.xml | 26 + .../gsb_xml/cby_2__5_.xml | 2 + .../gsb_xml/cby_3__1_.xml | 26 + .../gsb_xml/cby_3__2_.xml | 26 + .../gsb_xml/cby_3__3_.xml | 26 + .../gsb_xml/cby_3__4_.xml | 26 + .../gsb_xml/cby_3__5_.xml | 2 + .../gsb_xml/cby_4__1_.xml | 64 + .../gsb_xml/cby_4__2_.xml | 64 + .../gsb_xml/cby_4__3_.xml | 64 + .../gsb_xml/cby_4__4_.xml | 64 + .../gsb_xml/cby_4__5_.xml | 2 + .../gsb_xml/sb_0__0_.xml | 80 + .../gsb_xml/sb_0__1_.xml | 151 + .../gsb_xml/sb_0__2_.xml | 151 + .../gsb_xml/sb_0__3_.xml | 151 + .../gsb_xml/sb_0__4_.xml | 80 + .../gsb_xml/sb_1__0_.xml | 150 + .../gsb_xml/sb_1__1_.xml | 226 + .../gsb_xml/sb_1__2_.xml | 226 + .../gsb_xml/sb_1__3_.xml | 226 + .../gsb_xml/sb_1__4_.xml | 150 + .../gsb_xml/sb_2__0_.xml | 150 + .../gsb_xml/sb_2__1_.xml | 226 + .../gsb_xml/sb_2__2_.xml | 226 + .../gsb_xml/sb_2__3_.xml | 226 + .../gsb_xml/sb_2__4_.xml | 150 + .../gsb_xml/sb_3__0_.xml | 150 + .../gsb_xml/sb_3__1_.xml | 226 + .../gsb_xml/sb_3__2_.xml | 226 + .../gsb_xml/sb_3__3_.xml | 226 + .../gsb_xml/sb_3__4_.xml | 150 + .../gsb_xml/sb_4__0_.xml | 80 + .../gsb_xml/sb_4__1_.xml | 150 + .../gsb_xml/sb_4__2_.xml | 150 + .../gsb_xml/sb_4__3_.xml | 150 + .../gsb_xml/sb_4__4_.xml | 80 + .../gsb_xml_no_rr_info/cbx_0__0_.xml | 2 + .../gsb_xml_no_rr_info/cbx_0__1_.xml | 2 + .../gsb_xml_no_rr_info/cbx_0__2_.xml | 2 + .../gsb_xml_no_rr_info/cbx_0__3_.xml | 2 + .../gsb_xml_no_rr_info/cbx_0__4_.xml | 2 + .../gsb_xml_no_rr_info/cbx_1__0_.xml | 66 + .../gsb_xml_no_rr_info/cbx_1__1_.xml | 34 + .../gsb_xml_no_rr_info/cbx_1__2_.xml | 34 + .../gsb_xml_no_rr_info/cbx_1__3_.xml | 34 + .../gsb_xml_no_rr_info/cbx_1__4_.xml | 66 + .../gsb_xml_no_rr_info/cbx_2__0_.xml | 66 + .../gsb_xml_no_rr_info/cbx_2__1_.xml | 34 + .../gsb_xml_no_rr_info/cbx_2__2_.xml | 34 + .../gsb_xml_no_rr_info/cbx_2__3_.xml | 34 + .../gsb_xml_no_rr_info/cbx_2__4_.xml | 66 + .../gsb_xml_no_rr_info/cbx_3__0_.xml | 66 + .../gsb_xml_no_rr_info/cbx_3__1_.xml | 34 + .../gsb_xml_no_rr_info/cbx_3__2_.xml | 34 + .../gsb_xml_no_rr_info/cbx_3__3_.xml | 34 + .../gsb_xml_no_rr_info/cbx_3__4_.xml | 66 + .../gsb_xml_no_rr_info/cbx_4__0_.xml | 66 + .../gsb_xml_no_rr_info/cbx_4__1_.xml | 34 + .../gsb_xml_no_rr_info/cbx_4__2_.xml | 34 + .../gsb_xml_no_rr_info/cbx_4__3_.xml | 34 + .../gsb_xml_no_rr_info/cbx_4__4_.xml | 66 + .../gsb_xml_no_rr_info/cby_0__1_.xml | 60 + .../gsb_xml_no_rr_info/cby_0__2_.xml | 60 + .../gsb_xml_no_rr_info/cby_0__3_.xml | 60 + .../gsb_xml_no_rr_info/cby_0__4_.xml | 60 + .../gsb_xml_no_rr_info/cby_0__5_.xml | 2 + .../gsb_xml_no_rr_info/cby_1__1_.xml | 26 + .../gsb_xml_no_rr_info/cby_1__2_.xml | 26 + .../gsb_xml_no_rr_info/cby_1__3_.xml | 26 + .../gsb_xml_no_rr_info/cby_1__4_.xml | 26 + .../gsb_xml_no_rr_info/cby_1__5_.xml | 2 + .../gsb_xml_no_rr_info/cby_2__1_.xml | 26 + .../gsb_xml_no_rr_info/cby_2__2_.xml | 26 + .../gsb_xml_no_rr_info/cby_2__3_.xml | 26 + .../gsb_xml_no_rr_info/cby_2__4_.xml | 26 + .../gsb_xml_no_rr_info/cby_2__5_.xml | 2 + .../gsb_xml_no_rr_info/cby_3__1_.xml | 26 + .../gsb_xml_no_rr_info/cby_3__2_.xml | 26 + .../gsb_xml_no_rr_info/cby_3__3_.xml | 26 + .../gsb_xml_no_rr_info/cby_3__4_.xml | 26 + .../gsb_xml_no_rr_info/cby_3__5_.xml | 2 + .../gsb_xml_no_rr_info/cby_4__1_.xml | 64 + .../gsb_xml_no_rr_info/cby_4__2_.xml | 64 + .../gsb_xml_no_rr_info/cby_4__3_.xml | 64 + .../gsb_xml_no_rr_info/cby_4__4_.xml | 64 + .../gsb_xml_no_rr_info/cby_4__5_.xml | 2 + .../gsb_xml_no_rr_info/sb_0__0_.xml | 80 + .../gsb_xml_no_rr_info/sb_0__1_.xml | 151 + .../gsb_xml_no_rr_info/sb_0__2_.xml | 151 + .../gsb_xml_no_rr_info/sb_0__3_.xml | 151 + .../gsb_xml_no_rr_info/sb_0__4_.xml | 80 + .../gsb_xml_no_rr_info/sb_1__0_.xml | 150 + .../gsb_xml_no_rr_info/sb_1__1_.xml | 226 + .../gsb_xml_no_rr_info/sb_1__2_.xml | 226 + .../gsb_xml_no_rr_info/sb_1__3_.xml | 226 + .../gsb_xml_no_rr_info/sb_1__4_.xml | 150 + .../gsb_xml_no_rr_info/sb_2__0_.xml | 150 + .../gsb_xml_no_rr_info/sb_2__1_.xml | 226 + .../gsb_xml_no_rr_info/sb_2__2_.xml | 226 + .../gsb_xml_no_rr_info/sb_2__3_.xml | 226 + .../gsb_xml_no_rr_info/sb_2__4_.xml | 150 + .../gsb_xml_no_rr_info/sb_3__0_.xml | 150 + .../gsb_xml_no_rr_info/sb_3__1_.xml | 226 + .../gsb_xml_no_rr_info/sb_3__2_.xml | 226 + .../gsb_xml_no_rr_info/sb_3__3_.xml | 226 + .../gsb_xml_no_rr_info/sb_3__4_.xml | 150 + .../gsb_xml_no_rr_info/sb_4__0_.xml | 80 + .../gsb_xml_no_rr_info/sb_4__1_.xml | 150 + .../gsb_xml_no_rr_info/sb_4__2_.xml | 150 + .../gsb_xml_no_rr_info/sb_4__3_.xml | 150 + .../gsb_xml_no_rr_info/sb_4__4_.xml | 80 + .../lb/grid_clb.v | 113 + .../lb/grid_io_bottom.v | 170 + .../lb/grid_io_left.v | 170 + .../lb/grid_io_right.v | 170 + .../lb/grid_io_top.v | 170 + .../lb/logical_tile_clb_mode_clb_.v | 427 + .../lb/logical_tile_clb_mode_default__fle.v | 109 + ...clb_mode_default__fle_mode_n1_lut4__ble4.v | 131 + ..._fle_mode_n1_lut4__ble4_mode_default__ff.v | 64 + ...le_mode_n1_lut4__ble4_mode_default__lut4.v | 68 + .../lb/logical_tile_io_mode_io_.v | 76 + .../lb/logical_tile_io_mode_physical__iopad.v | 71 + .../logical_tile_clb_mode_clb_.sdc | 237 + .../logical_tile_clb_mode_default__fle.sdc | 13 + ...b_mode_default__fle_mode_n1_lut4__ble4.sdc | 15 + ...le_mode_n1_lut4__ble4_mode_default__ff.sdc | 13 + ..._mode_n1_lut4__ble4_mode_default__lut4.sdc | 21 + .../logical_tile_io_mode_io_.sdc | 15 + .../pin_mapping.xml | 9 + .../routing/cbx_1__0_.v | 346 + .../routing/cbx_1__1_.v | 251 + .../routing/cbx_1__4_.v | 346 + .../routing/cby_0__1_.v | 327 + .../routing/cby_1__1_.v | 232 + .../routing/cby_4__1_.v | 346 + .../routing/sb_0__0_.v | 406 + .../routing/sb_0__1_.v | 406 + .../routing/sb_0__4_.v | 406 + .../routing/sb_1__0_.v | 382 + .../routing/sb_1__1_.v | 396 + .../routing/sb_1__4_.v | 434 + .../routing/sb_4__0_.v | 406 + .../routing/sb_4__1_.v | 434 + .../routing/sb_4__4_.v | 406 + .../golden_outputs_no_time_stamp/sb_0__0_.sdc | 51 + .../golden_outputs_no_time_stamp/sb_0__1_.sdc | 87 + .../golden_outputs_no_time_stamp/sb_0__4_.sdc | 51 + .../golden_outputs_no_time_stamp/sb_1__0_.sdc | 87 + .../golden_outputs_no_time_stamp/sb_1__1_.sdc | 129 + .../golden_outputs_no_time_stamp/sb_1__4_.sdc | 87 + .../golden_outputs_no_time_stamp/sb_4__0_.sdc | 51 + .../golden_outputs_no_time_stamp/sb_4__1_.sdc | 87 + .../golden_outputs_no_time_stamp/sb_4__4_.sdc | 51 + .../sub_module/arch_encoder.v | 9 + .../sub_module/inv_buf_passgate.v | 196 + .../sub_module/local_encoder.v | 9 + .../sub_module/luts.v | 96 + .../sub_module/memories.v | 775 + .../sub_module/mux_primitives.v | 165 + .../sub_module/muxes.v | 1462 + .../sub_module/shift_register_banks.v | 9 + .../sub_module/user_defined_templates.v | 120 + .../sub_module/wires.v | 39 + .../fabric_netlists.v | 53 - 317 files changed, 85395 insertions(+), 94 deletions(-) delete mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/config/task.conf rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/and2_formal_random_top_tb.v (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/and2_fpga_top_analysis.sdc (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/and2_include_netlists.v (67%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/and2_top_formal_verification.v (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/bitstream_distribution.xml (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/cbx_1__0_.sdc (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/cbx_1__1_.sdc (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/cby_0__1_.sdc (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/cby_1__1_.sdc (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/ccff_timing.sdc (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/disable_configurable_memory_outputs.sdc (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/disable_configure_ports.sdc (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/disable_routing_multiplexer_outputs.sdc (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/disable_sb_outputs.sdc (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/fabric_bitstream.bit (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/fabric_bitstream.xml (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/fabric_independent_bitstream.xml (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/fabric_io_location.xml (100%) create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/fabric_netlists.v rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/fpga_defines.v (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/fpga_top.v (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/global_ports.sdc (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/gsb_xml/cbx_0__0_.xml (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/gsb_xml/cbx_0__1_.xml (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/gsb_xml/cbx_1__0_.xml (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/gsb_xml/cbx_1__1_.xml (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/gsb_xml/cby_0__1_.xml (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/gsb_xml/cby_0__2_.xml (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/gsb_xml/cby_1__1_.xml (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/gsb_xml/cby_1__2_.xml (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/gsb_xml/sb_0__0_.xml (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/gsb_xml/sb_0__1_.xml (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/gsb_xml/sb_1__0_.xml (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/gsb_xml/sb_1__1_.xml (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_0__0_.xml (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_0__1_.xml (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_1__0_.xml (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_1__1_.xml (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__1_.xml (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__2_.xml (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__1_.xml (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__2_.xml (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_0__0_.xml (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_0__1_.xml (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_1__0_.xml (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_1__1_.xml (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/lb/grid_clb.v (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/lb/grid_io_bottom.v (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/lb/grid_io_left.v (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/lb/grid_io_right.v (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/lb/grid_io_top.v (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_clb_.v (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle.v (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4.v (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff.v (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4.v (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/lb/logical_tile_io_mode_io_.v (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/lb/logical_tile_io_mode_physical__iopad.v (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/logical_tile_clb_mode_clb_.sdc (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/logical_tile_clb_mode_default__fle.sdc (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4.sdc (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff.sdc (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4.sdc (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/logical_tile_io_mode_io_.sdc (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/pin_mapping.xml (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/routing/cbx_1__0_.v (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/routing/cbx_1__1_.v (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/routing/cby_0__1_.v (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/routing/cby_1__1_.v (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/routing/sb_0__0_.v (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/routing/sb_0__1_.v (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/routing/sb_1__0_.v (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/routing/sb_1__1_.v (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/sb_0__0_.sdc (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/sb_0__1_.sdc (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/sb_1__0_.sdc (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/sb_1__1_.sdc (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/sub_module/arch_encoder.v (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/sub_module/inv_buf_passgate.v (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/sub_module/local_encoder.v (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/sub_module/luts.v (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/sub_module/memories.v (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/sub_module/mux_primitives.v (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/sub_module/muxes.v (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/sub_module/shift_register_banks.v (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/sub_module/user_defined_templates.v (100%) rename openfpga_flow/tasks/basic_tests/no_time_stamp/{ => device_1x1}/golden_outputs_no_time_stamp/sub_module/wires.v (100%) create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/and2_formal_random_top_tb.v create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/and2_fpga_top_analysis.sdc create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/and2_include_netlists.v create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/and2_top_formal_verification.v create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/bitstream_distribution.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/cbx_1__0_.sdc create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/cbx_1__1_.sdc create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/cbx_1__4_.sdc create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/cby_0__1_.sdc create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/cby_1__1_.sdc create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/cby_4__1_.sdc create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/ccff_timing.sdc create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/disable_configurable_memory_outputs.sdc create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/disable_configure_ports.sdc create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/disable_routing_multiplexer_outputs.sdc create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/disable_sb_outputs.sdc create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/fabric_bitstream.bit create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/fabric_bitstream.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/fabric_independent_bitstream.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/fabric_io_location.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/fabric_netlists.v create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/fpga_defines.v create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/fpga_top.v create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/global_ports.sdc create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_0__0_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_0__1_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_0__2_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_0__3_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_0__4_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_1__0_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_1__1_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_1__2_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_1__3_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_1__4_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_2__0_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_2__1_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_2__2_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_2__3_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_2__4_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_3__0_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_3__1_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_3__2_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_3__3_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_3__4_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_4__0_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_4__1_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_4__2_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_4__3_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_4__4_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_0__1_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_0__2_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_0__3_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_0__4_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_0__5_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_1__1_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_1__2_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_1__3_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_1__4_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_1__5_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_2__1_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_2__2_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_2__3_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_2__4_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_2__5_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_3__1_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_3__2_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_3__3_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_3__4_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_3__5_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_4__1_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_4__2_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_4__3_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_4__4_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_4__5_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_0__0_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_0__1_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_0__2_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_0__3_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_0__4_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_1__0_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_1__1_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_1__2_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_1__3_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_1__4_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_2__0_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_2__1_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_2__2_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_2__3_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_2__4_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_3__0_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_3__1_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_3__2_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_3__3_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_3__4_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_4__0_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_4__1_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_4__2_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_4__3_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_4__4_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_0__0_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_0__1_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_0__2_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_0__3_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_0__4_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_1__0_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_1__1_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_1__2_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_1__3_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_1__4_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_2__0_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_2__1_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_2__2_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_2__3_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_2__4_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_3__0_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_3__1_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_3__2_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_3__3_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_3__4_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_4__0_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_4__1_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_4__2_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_4__3_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_4__4_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__1_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__2_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__3_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__4_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__5_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__1_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__2_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__3_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__4_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__5_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_2__1_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_2__2_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_2__3_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_2__4_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_2__5_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_3__1_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_3__2_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_3__3_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_3__4_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_3__5_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_4__1_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_4__2_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_4__3_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_4__4_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_4__5_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_0__0_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_0__1_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_0__2_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_0__3_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_0__4_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_1__0_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_1__1_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_1__2_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_1__3_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_1__4_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_2__0_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_2__1_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_2__2_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_2__3_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_2__4_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_3__0_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_3__1_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_3__2_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_3__3_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_3__4_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_4__0_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_4__1_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_4__2_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_4__3_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_4__4_.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/grid_clb.v create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/grid_io_bottom.v create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/grid_io_left.v create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/grid_io_right.v create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/grid_io_top.v create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_clb_.v create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle.v create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4.v create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff.v create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4.v create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/logical_tile_io_mode_io_.v create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/logical_tile_io_mode_physical__iopad.v create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/logical_tile_clb_mode_clb_.sdc create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/logical_tile_clb_mode_default__fle.sdc create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4.sdc create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff.sdc create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4.sdc create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/logical_tile_io_mode_io_.sdc create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/pin_mapping.xml create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/cbx_1__0_.v create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/cbx_1__1_.v create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/cbx_1__4_.v create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/cby_0__1_.v create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/cby_1__1_.v create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/cby_4__1_.v create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/sb_0__0_.v create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/sb_0__1_.v create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/sb_0__4_.v create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/sb_1__0_.v create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/sb_1__1_.v create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/sb_1__4_.v create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/sb_4__0_.v create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/sb_4__1_.v create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/sb_4__4_.v create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sb_0__0_.sdc create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sb_0__1_.sdc create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sb_0__4_.sdc create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sb_1__0_.sdc create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sb_1__1_.sdc create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sb_1__4_.sdc create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sb_4__0_.sdc create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sb_4__1_.sdc create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sb_4__4_.sdc create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/arch_encoder.v create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/inv_buf_passgate.v create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/local_encoder.v create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/luts.v create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/memories.v create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/mux_primitives.v create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/muxes.v create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/shift_register_banks.v create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/user_defined_templates.v create mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/wires.v delete mode 100644 openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/fabric_netlists.v diff --git a/openfpga_flow/regression_test_scripts/basic_reg_test.sh b/openfpga_flow/regression_test_scripts/basic_reg_test.sh index b89d7f0bf..7462d0e6f 100755 --- a/openfpga_flow/regression_test_scripts/basic_reg_test.sh +++ b/openfpga_flow/regression_test_scripts/basic_reg_test.sh @@ -190,15 +190,16 @@ create-task _task_copy basic_tests/generate_fabric run-task _task_copy echo -e "Testing output files without time stamp"; -run-task basic_tests/no_time_stamp $@ +run-task basic_tests/no_time_stamp/device_1x1 $@ +run-task basic_tests/no_time_stamp/device_4x4 $@ # Run git-diff to ensure no changes on the golden netlists # Switch to root path in case users are running the tests in another location cd ${OPENFPGA_PATH} pwd git config --global --add safe.directory ${OPENFPGA_PATH} git log -git diff --name-status -- ':${OPENFPGA_PATH}/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/**' -if git diff --name-status --exit-code -- ':${OPENFPGA_PATH}/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/**'; then +git diff --name-status -- ':${OPENFPGA_PATH}/openfpga_flow/tasks/basic_tests/no_time_stamp/*/golden_outputs_no_time_stamp/**' +if git diff --name-status --exit-code -- ':${OPENFPGA_PATH}/openfpga_flow/tasks/basic_tests/no_time_stamp/*/golden_outputs_no_time_stamp/**'; then echo -e "Golden netlist remain unchanged" else echo -e "Detect changes in golden netlists"; exit 1; diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/config/task.conf b/openfpga_flow/tasks/basic_tests/no_time_stamp/config/task.conf deleted file mode 100644 index 9e48c0843..000000000 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/config/task.conf +++ /dev/null @@ -1,35 +0,0 @@ -# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = -# Configuration file for running experiments -# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = -# timeout_each_job : FPGA Task script splits fpga flow into multiple jobs -# Each job execute fpga_flow script on combination of architecture & benchmark -# timeout_each_job is timeout for each job -# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = - -[GENERAL] -run_engine=openfpga_shell -power_tech_file = ${PATH:OPENFPGA_PATH}/openfpga_flow/tech/PTM_45nm/45nm.xml -power_analysis = true -spice_output=false -verilog_output=true -timeout_each_job = 20*60 -fpga_flow=yosys_vpr - -[OpenFPGA_SHELL] -openfpga_shell_template=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_shell_scripts/no_time_stamp_example_script.openfpga -openfpga_arch_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_arch/k4_N4_40nm_cc_openfpga.xml -openfpga_sim_setting_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_simulation_settings/auto_sim_openfpga.xml -openfpga_vpr_route_chan_width = 26 -openfpga_output_dir=${PATH:TASK_DIR}/golden_outputs_no_time_stamp - -[ARCHITECTURES] -arch0=${PATH:OPENFPGA_PATH}/openfpga_flow/vpr_arch/k4_N4_tileable_40nm.xml - -[BENCHMARKS] -bench0=${PATH:OPENFPGA_PATH}/openfpga_flow/benchmarks/micro_benchmark/and2/and2.v - -[SYNTHESIS_PARAM] -bench_read_verilog_options_common = -nolatches -bench0_top = and2 - -[SCRIPT_PARAM_MIN_ROUTE_CHAN_WIDTH] diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/and2_formal_random_top_tb.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/and2_formal_random_top_tb.v similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/and2_formal_random_top_tb.v rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/and2_formal_random_top_tb.v diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/and2_fpga_top_analysis.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/and2_fpga_top_analysis.sdc similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/and2_fpga_top_analysis.sdc rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/and2_fpga_top_analysis.sdc diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/and2_include_netlists.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/and2_include_netlists.v similarity index 67% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/and2_include_netlists.v rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/and2_include_netlists.v index 3620fd132..093778f3c 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/and2_include_netlists.v +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/and2_include_netlists.v @@ -8,9 +8,9 @@ `timescale 1ns / 1ps // ------ Include fabric top-level netlists ----- -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/fabric_netlists.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/fabric_netlists.v" `include "and2_output_verilog.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/and2_top_formal_verification.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/and2_formal_random_top_tb.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/and2_top_formal_verification.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/and2_formal_random_top_tb.v" diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/and2_top_formal_verification.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/and2_top_formal_verification.v similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/and2_top_formal_verification.v rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/and2_top_formal_verification.v diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/bitstream_distribution.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/bitstream_distribution.xml similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/bitstream_distribution.xml rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/bitstream_distribution.xml diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/cbx_1__0_.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/cbx_1__0_.sdc similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/cbx_1__0_.sdc rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/cbx_1__0_.sdc diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/cbx_1__1_.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/cbx_1__1_.sdc similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/cbx_1__1_.sdc rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/cbx_1__1_.sdc diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/cby_0__1_.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/cby_0__1_.sdc similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/cby_0__1_.sdc rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/cby_0__1_.sdc diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/cby_1__1_.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/cby_1__1_.sdc similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/cby_1__1_.sdc rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/cby_1__1_.sdc diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/ccff_timing.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/ccff_timing.sdc similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/ccff_timing.sdc rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/ccff_timing.sdc diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/disable_configurable_memory_outputs.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/disable_configurable_memory_outputs.sdc similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/disable_configurable_memory_outputs.sdc rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/disable_configurable_memory_outputs.sdc diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/disable_configure_ports.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/disable_configure_ports.sdc similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/disable_configure_ports.sdc rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/disable_configure_ports.sdc diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/disable_routing_multiplexer_outputs.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/disable_routing_multiplexer_outputs.sdc similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/disable_routing_multiplexer_outputs.sdc rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/disable_routing_multiplexer_outputs.sdc diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/disable_sb_outputs.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/disable_sb_outputs.sdc similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/disable_sb_outputs.sdc rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/disable_sb_outputs.sdc diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/fabric_bitstream.bit b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/fabric_bitstream.bit similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/fabric_bitstream.bit rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/fabric_bitstream.bit diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/fabric_bitstream.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/fabric_bitstream.xml similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/fabric_bitstream.xml rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/fabric_bitstream.xml diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/fabric_independent_bitstream.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/fabric_independent_bitstream.xml similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/fabric_independent_bitstream.xml rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/fabric_independent_bitstream.xml diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/fabric_io_location.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/fabric_io_location.xml similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/fabric_io_location.xml rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/fabric_io_location.xml diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/fabric_netlists.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/fabric_netlists.v new file mode 100644 index 000000000..860bccaf6 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/fabric_netlists.v @@ -0,0 +1,53 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Fabric Netlist Summary +// Author: Xifan TANG +// Organization: University of Utah +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +// ------ Include defines: preproc flags ----- +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/fpga_defines.v" + +// ------ Include user-defined netlists ----- +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/openfpga_cell_library/verilog/dff.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/openfpga_cell_library/verilog/gpio.v" +// ------ Include primitive module netlists ----- +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/sub_module/inv_buf_passgate.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/sub_module/arch_encoder.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/sub_module/local_encoder.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/sub_module/mux_primitives.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/sub_module/muxes.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/sub_module/luts.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/sub_module/wires.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/sub_module/memories.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/sub_module/shift_register_banks.v" + +// ------ Include logic block netlists ----- +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/lb/logical_tile_io_mode_physical__iopad.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/lb/logical_tile_io_mode_io_.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_clb_.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/lb/grid_io_top.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/lb/grid_io_right.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/lb/grid_io_bottom.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/lb/grid_io_left.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/lb/grid_clb.v" + +// ------ Include routing module netlists ----- +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/routing/sb_0__0_.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/routing/sb_0__1_.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/routing/sb_1__0_.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/routing/sb_1__1_.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/routing/cbx_1__0_.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/routing/cbx_1__1_.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/routing/cby_0__1_.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/routing/cby_1__1_.v" + +// ------ Include fabric top-level netlists ----- +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/fpga_top.v" + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/fpga_defines.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/fpga_defines.v similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/fpga_defines.v rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/fpga_defines.v diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/fpga_top.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/fpga_top.v similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/fpga_top.v rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/fpga_top.v diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/global_ports.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/global_ports.sdc similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/global_ports.sdc rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/global_ports.sdc diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/gsb_xml/cbx_0__0_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml/cbx_0__0_.xml similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/gsb_xml/cbx_0__0_.xml rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml/cbx_0__0_.xml diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/gsb_xml/cbx_0__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml/cbx_0__1_.xml similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/gsb_xml/cbx_0__1_.xml rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml/cbx_0__1_.xml diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/gsb_xml/cbx_1__0_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml/cbx_1__0_.xml similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/gsb_xml/cbx_1__0_.xml rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml/cbx_1__0_.xml diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/gsb_xml/cbx_1__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml/cbx_1__1_.xml similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/gsb_xml/cbx_1__1_.xml rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml/cbx_1__1_.xml diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/gsb_xml/cby_0__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml/cby_0__1_.xml similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/gsb_xml/cby_0__1_.xml rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml/cby_0__1_.xml diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/gsb_xml/cby_0__2_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml/cby_0__2_.xml similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/gsb_xml/cby_0__2_.xml rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml/cby_0__2_.xml diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/gsb_xml/cby_1__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml/cby_1__1_.xml similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/gsb_xml/cby_1__1_.xml rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml/cby_1__1_.xml diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/gsb_xml/cby_1__2_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml/cby_1__2_.xml similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/gsb_xml/cby_1__2_.xml rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml/cby_1__2_.xml diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/gsb_xml/sb_0__0_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml/sb_0__0_.xml similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/gsb_xml/sb_0__0_.xml rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml/sb_0__0_.xml diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/gsb_xml/sb_0__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml/sb_0__1_.xml similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/gsb_xml/sb_0__1_.xml rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml/sb_0__1_.xml diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/gsb_xml/sb_1__0_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml/sb_1__0_.xml similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/gsb_xml/sb_1__0_.xml rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml/sb_1__0_.xml diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/gsb_xml/sb_1__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml/sb_1__1_.xml similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/gsb_xml/sb_1__1_.xml rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml/sb_1__1_.xml diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_0__0_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_0__0_.xml similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_0__0_.xml rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_0__0_.xml diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_0__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_0__1_.xml similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_0__1_.xml rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_0__1_.xml diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_1__0_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_1__0_.xml similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_1__0_.xml rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_1__0_.xml diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_1__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_1__1_.xml similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_1__1_.xml rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_1__1_.xml diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__1_.xml similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__1_.xml rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__1_.xml diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__2_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__2_.xml similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__2_.xml rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__2_.xml diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__1_.xml similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__1_.xml rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__1_.xml diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__2_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__2_.xml similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__2_.xml rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__2_.xml diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_0__0_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_0__0_.xml similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_0__0_.xml rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_0__0_.xml diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_0__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_0__1_.xml similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_0__1_.xml rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_0__1_.xml diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_1__0_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_1__0_.xml similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_1__0_.xml rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_1__0_.xml diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_1__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_1__1_.xml similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_1__1_.xml rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_1__1_.xml diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/lb/grid_clb.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/lb/grid_clb.v similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/lb/grid_clb.v rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/lb/grid_clb.v diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/lb/grid_io_bottom.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/lb/grid_io_bottom.v similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/lb/grid_io_bottom.v rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/lb/grid_io_bottom.v diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/lb/grid_io_left.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/lb/grid_io_left.v similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/lb/grid_io_left.v rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/lb/grid_io_left.v diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/lb/grid_io_right.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/lb/grid_io_right.v similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/lb/grid_io_right.v rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/lb/grid_io_right.v diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/lb/grid_io_top.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/lb/grid_io_top.v similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/lb/grid_io_top.v rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/lb/grid_io_top.v diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_clb_.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_clb_.v similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_clb_.v rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_clb_.v diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle.v similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle.v rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle.v diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4.v similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4.v rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4.v diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff.v similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff.v rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff.v diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4.v similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4.v rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4.v diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/lb/logical_tile_io_mode_io_.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/lb/logical_tile_io_mode_io_.v similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/lb/logical_tile_io_mode_io_.v rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/lb/logical_tile_io_mode_io_.v diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/lb/logical_tile_io_mode_physical__iopad.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/lb/logical_tile_io_mode_physical__iopad.v similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/lb/logical_tile_io_mode_physical__iopad.v rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/lb/logical_tile_io_mode_physical__iopad.v diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/logical_tile_clb_mode_clb_.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/logical_tile_clb_mode_clb_.sdc similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/logical_tile_clb_mode_clb_.sdc rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/logical_tile_clb_mode_clb_.sdc diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/logical_tile_clb_mode_default__fle.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/logical_tile_clb_mode_default__fle.sdc similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/logical_tile_clb_mode_default__fle.sdc rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/logical_tile_clb_mode_default__fle.sdc diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4.sdc similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4.sdc rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4.sdc diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff.sdc similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff.sdc rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff.sdc diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4.sdc similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4.sdc rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4.sdc diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/logical_tile_io_mode_io_.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/logical_tile_io_mode_io_.sdc similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/logical_tile_io_mode_io_.sdc rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/logical_tile_io_mode_io_.sdc diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/pin_mapping.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/pin_mapping.xml similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/pin_mapping.xml rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/pin_mapping.xml diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/routing/cbx_1__0_.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/routing/cbx_1__0_.v similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/routing/cbx_1__0_.v rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/routing/cbx_1__0_.v diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/routing/cbx_1__1_.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/routing/cbx_1__1_.v similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/routing/cbx_1__1_.v rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/routing/cbx_1__1_.v diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/routing/cby_0__1_.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/routing/cby_0__1_.v similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/routing/cby_0__1_.v rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/routing/cby_0__1_.v diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/routing/cby_1__1_.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/routing/cby_1__1_.v similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/routing/cby_1__1_.v rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/routing/cby_1__1_.v diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/routing/sb_0__0_.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/routing/sb_0__0_.v similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/routing/sb_0__0_.v rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/routing/sb_0__0_.v diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/routing/sb_0__1_.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/routing/sb_0__1_.v similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/routing/sb_0__1_.v rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/routing/sb_0__1_.v diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/routing/sb_1__0_.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/routing/sb_1__0_.v similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/routing/sb_1__0_.v rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/routing/sb_1__0_.v diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/routing/sb_1__1_.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/routing/sb_1__1_.v similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/routing/sb_1__1_.v rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/routing/sb_1__1_.v diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/sb_0__0_.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/sb_0__0_.sdc similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/sb_0__0_.sdc rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/sb_0__0_.sdc diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/sb_0__1_.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/sb_0__1_.sdc similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/sb_0__1_.sdc rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/sb_0__1_.sdc diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/sb_1__0_.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/sb_1__0_.sdc similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/sb_1__0_.sdc rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/sb_1__0_.sdc diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/sb_1__1_.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/sb_1__1_.sdc similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/sb_1__1_.sdc rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/sb_1__1_.sdc diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/sub_module/arch_encoder.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/sub_module/arch_encoder.v similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/sub_module/arch_encoder.v rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/sub_module/arch_encoder.v diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/sub_module/inv_buf_passgate.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/sub_module/inv_buf_passgate.v similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/sub_module/inv_buf_passgate.v rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/sub_module/inv_buf_passgate.v diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/sub_module/local_encoder.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/sub_module/local_encoder.v similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/sub_module/local_encoder.v rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/sub_module/local_encoder.v diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/sub_module/luts.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/sub_module/luts.v similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/sub_module/luts.v rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/sub_module/luts.v diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/sub_module/memories.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/sub_module/memories.v similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/sub_module/memories.v rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/sub_module/memories.v diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/sub_module/mux_primitives.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/sub_module/mux_primitives.v similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/sub_module/mux_primitives.v rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/sub_module/mux_primitives.v diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/sub_module/muxes.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/sub_module/muxes.v similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/sub_module/muxes.v rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/sub_module/muxes.v diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/sub_module/shift_register_banks.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/sub_module/shift_register_banks.v similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/sub_module/shift_register_banks.v rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/sub_module/shift_register_banks.v diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/sub_module/user_defined_templates.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/sub_module/user_defined_templates.v similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/sub_module/user_defined_templates.v rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/sub_module/user_defined_templates.v diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/sub_module/wires.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/sub_module/wires.v similarity index 100% rename from openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/sub_module/wires.v rename to openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/sub_module/wires.v diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/and2_formal_random_top_tb.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/and2_formal_random_top_tb.v new file mode 100644 index 000000000..cc793331a --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/and2_formal_random_top_tb.v @@ -0,0 +1,126 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: FPGA Verilog Testbench for Formal Top-level netlist of Design: and2 +// Author: Xifan TANG +// Organization: University of Utah +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +//----- Default net type ----- +`default_nettype none + +module and2_top_formal_verification_random_tb; +// ----- Default clock port is added here since benchmark does not contain one ------- + reg [0:0] clk; + +// ----- Shared inputs ------- + reg [0:0] a; + reg [0:0] b; + +// ----- FPGA fabric outputs ------- + wire [0:0] c_gfpga; + +// ----- Benchmark outputs ------- + wire [0:0] c_bench; + +// ----- Output vectors checking flags ------- + reg [0:0] c_flag; + +// ----- Error counter ------- + integer nb_error= 0; + +// ----- FPGA fabric instanciation ------- + and2_top_formal_verification FPGA_DUT( + .a(a), + .b(b), + .c(c_gfpga) + ); +// ----- End FPGA Fabric Instanication ------- + +// ----- Reference Benchmark Instanication ------- + and2 REF_DUT( + .a(a), + .b(b), + .c(c_bench) + ); +// ----- End reference Benchmark Instanication ------- + +// ----- Clock 'clk' Initialization ------- + initial begin + clk[0] <= 1'b0; + while(1) begin + #0.4537859857 + clk[0] <= !clk[0]; + end + end + +// ----- Begin reset signal generation ----- +// ----- End reset signal generation ----- + +// ----- Input Initialization ------- + initial begin + a <= 1'b0; + b <= 1'b0; + + c_flag[0] <= 1'b0; + end + +// ----- Input Stimulus ------- + always@(negedge clk[0]) begin + a <= $random; + b <= $random; + end + +// ----- Begin checking output vectors ------- +// ----- Skip the first falling edge of clock, it is for initialization ------- + reg [0:0] sim_start; + + always@(negedge clk[0]) begin + if (1'b1 == sim_start[0]) begin + sim_start[0] <= ~sim_start[0]; + end else +begin + if(!(c_gfpga === c_bench) && !(c_bench === 1'bx)) begin + c_flag <= 1'b1; + end else begin + c_flag<= 1'b0; + end + end + end + + always@(posedge c_flag) begin + if(c_flag) begin + nb_error = nb_error + 1; + $display("Mismatch on c_gfpga at time = %t", $realtime); + end + end + + +// ----- Begin output waveform to VCD file------- + initial begin + $dumpfile("and2_formal.vcd"); + $dumpvars(1, and2_top_formal_verification_random_tb); + end +// ----- END output waveform to VCD file ------- + +initial begin + sim_start[0] <= 1'b1; + $timeformat(-9, 2, "ns", 20); + $display("Simulation start"); +// ----- Can be changed by the user for his/her need ------- + #6.353003979 + if(nb_error == 0) begin + $display("Simulation Succeed"); + end else begin + $display("Simulation Failed with %d error(s)", nb_error); + end + $finish; +end + +endmodule +// ----- END Verilog module for and2_top_formal_verification_random_tb ----- + +//----- Default net type ----- +`default_nettype none + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/and2_fpga_top_analysis.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/and2_fpga_top_analysis.sdc new file mode 100644 index 000000000..08eb67131 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/and2_fpga_top_analysis.sdc @@ -0,0 +1,10229 @@ +############################################# +# Synopsys Design Constraints (SDC) +# For FPGA fabric +# Description: Constrain for Timing/Power analysis on the mapped FPGA +# Author: Xifan TANG +# Organization: University of Utah +############################################# + +################################################## +# Create clock +################################################## +create_clock clk[0] -period 9.07571962e-10 -waveform {0 4.53785981e-10} + +################################################## +# Create input and output delays for used I/Os +################################################## +set_input_delay -clock clk[0] -max 9.07571962e-10 gfpga_pad_GPIO_PAD[65] +set_input_delay -clock clk[0] -max 9.07571962e-10 gfpga_pad_GPIO_PAD[71] +set_output_delay -clock clk[0] -max 9.07571962e-10 gfpga_pad_GPIO_PAD[56] + +################################################## +# Disable timing for unused I/Os +################################################## +set_disable_timing gfpga_pad_GPIO_PAD[0] +set_disable_timing gfpga_pad_GPIO_PAD[1] +set_disable_timing gfpga_pad_GPIO_PAD[2] +set_disable_timing gfpga_pad_GPIO_PAD[3] +set_disable_timing gfpga_pad_GPIO_PAD[4] +set_disable_timing gfpga_pad_GPIO_PAD[5] +set_disable_timing gfpga_pad_GPIO_PAD[6] +set_disable_timing gfpga_pad_GPIO_PAD[7] +set_disable_timing gfpga_pad_GPIO_PAD[8] +set_disable_timing gfpga_pad_GPIO_PAD[9] +set_disable_timing gfpga_pad_GPIO_PAD[10] +set_disable_timing gfpga_pad_GPIO_PAD[11] +set_disable_timing gfpga_pad_GPIO_PAD[12] +set_disable_timing gfpga_pad_GPIO_PAD[13] +set_disable_timing gfpga_pad_GPIO_PAD[14] +set_disable_timing gfpga_pad_GPIO_PAD[15] +set_disable_timing gfpga_pad_GPIO_PAD[16] +set_disable_timing gfpga_pad_GPIO_PAD[17] +set_disable_timing gfpga_pad_GPIO_PAD[18] +set_disable_timing gfpga_pad_GPIO_PAD[19] +set_disable_timing gfpga_pad_GPIO_PAD[20] +set_disable_timing gfpga_pad_GPIO_PAD[21] +set_disable_timing gfpga_pad_GPIO_PAD[22] +set_disable_timing gfpga_pad_GPIO_PAD[23] +set_disable_timing gfpga_pad_GPIO_PAD[24] +set_disable_timing gfpga_pad_GPIO_PAD[25] +set_disable_timing gfpga_pad_GPIO_PAD[26] +set_disable_timing gfpga_pad_GPIO_PAD[27] +set_disable_timing gfpga_pad_GPIO_PAD[28] +set_disable_timing gfpga_pad_GPIO_PAD[29] +set_disable_timing gfpga_pad_GPIO_PAD[30] +set_disable_timing gfpga_pad_GPIO_PAD[31] +set_disable_timing gfpga_pad_GPIO_PAD[32] +set_disable_timing gfpga_pad_GPIO_PAD[33] +set_disable_timing gfpga_pad_GPIO_PAD[34] +set_disable_timing gfpga_pad_GPIO_PAD[35] +set_disable_timing gfpga_pad_GPIO_PAD[36] +set_disable_timing gfpga_pad_GPIO_PAD[37] +set_disable_timing gfpga_pad_GPIO_PAD[38] +set_disable_timing gfpga_pad_GPIO_PAD[39] +set_disable_timing gfpga_pad_GPIO_PAD[40] +set_disable_timing gfpga_pad_GPIO_PAD[41] +set_disable_timing gfpga_pad_GPIO_PAD[42] +set_disable_timing gfpga_pad_GPIO_PAD[43] +set_disable_timing gfpga_pad_GPIO_PAD[44] +set_disable_timing gfpga_pad_GPIO_PAD[45] +set_disable_timing gfpga_pad_GPIO_PAD[46] +set_disable_timing gfpga_pad_GPIO_PAD[47] +set_disable_timing gfpga_pad_GPIO_PAD[48] +set_disable_timing gfpga_pad_GPIO_PAD[49] +set_disable_timing gfpga_pad_GPIO_PAD[50] +set_disable_timing gfpga_pad_GPIO_PAD[51] +set_disable_timing gfpga_pad_GPIO_PAD[52] +set_disable_timing gfpga_pad_GPIO_PAD[53] +set_disable_timing gfpga_pad_GPIO_PAD[54] +set_disable_timing gfpga_pad_GPIO_PAD[55] +set_disable_timing gfpga_pad_GPIO_PAD[57] +set_disable_timing gfpga_pad_GPIO_PAD[58] +set_disable_timing gfpga_pad_GPIO_PAD[59] +set_disable_timing gfpga_pad_GPIO_PAD[60] +set_disable_timing gfpga_pad_GPIO_PAD[61] +set_disable_timing gfpga_pad_GPIO_PAD[62] +set_disable_timing gfpga_pad_GPIO_PAD[63] +set_disable_timing gfpga_pad_GPIO_PAD[64] +set_disable_timing gfpga_pad_GPIO_PAD[66] +set_disable_timing gfpga_pad_GPIO_PAD[67] +set_disable_timing gfpga_pad_GPIO_PAD[68] +set_disable_timing gfpga_pad_GPIO_PAD[69] +set_disable_timing gfpga_pad_GPIO_PAD[70] +set_disable_timing gfpga_pad_GPIO_PAD[72] +set_disable_timing gfpga_pad_GPIO_PAD[73] +set_disable_timing gfpga_pad_GPIO_PAD[74] +set_disable_timing gfpga_pad_GPIO_PAD[75] +set_disable_timing gfpga_pad_GPIO_PAD[76] +set_disable_timing gfpga_pad_GPIO_PAD[77] +set_disable_timing gfpga_pad_GPIO_PAD[78] +set_disable_timing gfpga_pad_GPIO_PAD[79] +set_disable_timing gfpga_pad_GPIO_PAD[80] +set_disable_timing gfpga_pad_GPIO_PAD[81] +set_disable_timing gfpga_pad_GPIO_PAD[82] +set_disable_timing gfpga_pad_GPIO_PAD[83] +set_disable_timing gfpga_pad_GPIO_PAD[84] +set_disable_timing gfpga_pad_GPIO_PAD[85] +set_disable_timing gfpga_pad_GPIO_PAD[86] +set_disable_timing gfpga_pad_GPIO_PAD[87] +set_disable_timing gfpga_pad_GPIO_PAD[88] +set_disable_timing gfpga_pad_GPIO_PAD[89] +set_disable_timing gfpga_pad_GPIO_PAD[90] +set_disable_timing gfpga_pad_GPIO_PAD[91] +set_disable_timing gfpga_pad_GPIO_PAD[92] +set_disable_timing gfpga_pad_GPIO_PAD[93] +set_disable_timing gfpga_pad_GPIO_PAD[94] +set_disable_timing gfpga_pad_GPIO_PAD[95] +set_disable_timing gfpga_pad_GPIO_PAD[96] +set_disable_timing gfpga_pad_GPIO_PAD[97] +set_disable_timing gfpga_pad_GPIO_PAD[98] +set_disable_timing gfpga_pad_GPIO_PAD[99] +set_disable_timing gfpga_pad_GPIO_PAD[100] +set_disable_timing gfpga_pad_GPIO_PAD[101] +set_disable_timing gfpga_pad_GPIO_PAD[102] +set_disable_timing gfpga_pad_GPIO_PAD[103] +set_disable_timing gfpga_pad_GPIO_PAD[104] +set_disable_timing gfpga_pad_GPIO_PAD[105] +set_disable_timing gfpga_pad_GPIO_PAD[106] +set_disable_timing gfpga_pad_GPIO_PAD[107] +set_disable_timing gfpga_pad_GPIO_PAD[108] +set_disable_timing gfpga_pad_GPIO_PAD[109] +set_disable_timing gfpga_pad_GPIO_PAD[110] +set_disable_timing gfpga_pad_GPIO_PAD[111] +set_disable_timing gfpga_pad_GPIO_PAD[112] +set_disable_timing gfpga_pad_GPIO_PAD[113] +set_disable_timing gfpga_pad_GPIO_PAD[114] +set_disable_timing gfpga_pad_GPIO_PAD[115] +set_disable_timing gfpga_pad_GPIO_PAD[116] +set_disable_timing gfpga_pad_GPIO_PAD[117] +set_disable_timing gfpga_pad_GPIO_PAD[118] +set_disable_timing gfpga_pad_GPIO_PAD[119] +set_disable_timing gfpga_pad_GPIO_PAD[120] +set_disable_timing gfpga_pad_GPIO_PAD[121] +set_disable_timing gfpga_pad_GPIO_PAD[122] +set_disable_timing gfpga_pad_GPIO_PAD[123] +set_disable_timing gfpga_pad_GPIO_PAD[124] +set_disable_timing gfpga_pad_GPIO_PAD[125] +set_disable_timing gfpga_pad_GPIO_PAD[126] +set_disable_timing gfpga_pad_GPIO_PAD[127] + +################################################## +# Disable timing for global ports +################################################## +set_disable_timing set[0] +set_disable_timing reset[0] +set_disable_timing prog_clk[0] +set_disable_timing fpga_top/grid_io_bottom_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/Q +set_disable_timing fpga_top/grid_io_bottom_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/QN +set_disable_timing fpga_top/grid_io_right_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/Q +set_disable_timing fpga_top/grid_io_right_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN +set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFF_*_/Q +set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFF_*_/QN +set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFF_*_/Q +set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFF_*_/QN +set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFF_*_/Q +set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFF_*_/QN +set_disable_timing fpga_top/grid_io_top_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/Q +set_disable_timing fpga_top/grid_io_top_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN +set_disable_timing fpga_top/cby_*__*_/mem_left_ipin_*/DFF_*_/Q +set_disable_timing fpga_top/cby_*__*_/mem_left_ipin_*/DFF_*_/QN +set_disable_timing fpga_top/cby_*__*_/mem_left_ipin_*/DFF_*_/Q +set_disable_timing fpga_top/cby_*__*_/mem_left_ipin_*/DFF_*_/QN +set_disable_timing fpga_top/cby_*__*_/mem_right_ipin_*/DFF_*_/Q +set_disable_timing fpga_top/cby_*__*_/mem_right_ipin_*/DFF_*_/QN +set_disable_timing fpga_top/grid_io_left_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/Q +set_disable_timing fpga_top/grid_io_left_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN +set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFF_*_/Q +set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFF_*_/QN +set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFF_*_/Q +set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFF_*_/QN +set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFF_*_/Q +set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFF_*_/QN +set_disable_timing fpga_top/cby_*__*_/mem_left_ipin_*/DFF_*_/Q +set_disable_timing fpga_top/cby_*__*_/mem_left_ipin_*/DFF_*_/QN +set_disable_timing fpga_top/cby_*__*_/mem_left_ipin_*/DFF_*_/Q +set_disable_timing fpga_top/cby_*__*_/mem_left_ipin_*/DFF_*_/QN +set_disable_timing fpga_top/cby_*__*_/mem_right_ipin_*/DFF_*_/Q +set_disable_timing fpga_top/cby_*__*_/mem_right_ipin_*/DFF_*_/QN +set_disable_timing fpga_top/cby_*__*_/mem_right_ipin_*/DFF_*_/Q +set_disable_timing fpga_top/cby_*__*_/mem_right_ipin_*/DFF_*_/QN +set_disable_timing fpga_top/grid_clb_*__*_/logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_n*_lut*__ble*_*/logical_tile_clb_mode_default__fle_mode_n*_lut*__ble*_mode_default__lut*_*/lut*_DFF_mem/DFF_*_/Q +set_disable_timing fpga_top/grid_clb_*__*_/logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_n*_lut*__ble*_*/logical_tile_clb_mode_default__fle_mode_n*_lut*__ble*_mode_default__lut*_*/lut*_DFF_mem/DFF_*_/QN +set_disable_timing fpga_top/grid_clb_*__*_/logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_n*_lut*__ble*_*/mem_ble*_out_*/DFF_*_/Q +set_disable_timing fpga_top/grid_clb_*__*_/logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_n*_lut*__ble*_*/mem_ble*_out_*/DFF_*_/QN +set_disable_timing fpga_top/grid_clb_*__*_/logical_tile_clb_mode_clb__*/mem_fle_*_in_*/DFF_*_/Q +set_disable_timing fpga_top/grid_clb_*__*_/logical_tile_clb_mode_clb__*/mem_fle_*_in_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN +set_disable_timing fpga_top/cby_*__*_/mem_left_ipin_*/DFF_*_/Q +set_disable_timing fpga_top/cby_*__*_/mem_left_ipin_*/DFF_*_/QN +set_disable_timing fpga_top/cby_*__*_/mem_right_ipin_*/DFF_*_/Q +set_disable_timing fpga_top/cby_*__*_/mem_right_ipin_*/DFF_*_/QN +set_disable_timing fpga_top/cby_*__*_/mem_right_ipin_*/DFF_*_/Q +set_disable_timing fpga_top/cby_*__*_/mem_right_ipin_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN +set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFF_*_/Q +set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFF_*_/QN +set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFF_*_/Q +set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFF_*_/QN +set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFF_*_/Q +set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFF_*_/QN +set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFF_*_/Q +set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN +################################################## +# Disable timing for Connection block cbx_1__0_ +################################################## +set_disable_timing cbx_1__0_/chanx_left_in[0] +set_disable_timing cbx_1__0_/chanx_right_in[0] +set_disable_timing cbx_1__0_/chanx_left_in[1] +set_disable_timing cbx_1__0_/chanx_right_in[1] +set_disable_timing cbx_1__0_/chanx_left_in[2] +set_disable_timing cbx_1__0_/chanx_right_in[2] +set_disable_timing cbx_1__0_/chanx_left_in[3] +set_disable_timing cbx_1__0_/chanx_right_in[3] +set_disable_timing cbx_1__0_/chanx_left_in[4] +set_disable_timing cbx_1__0_/chanx_right_in[4] +set_disable_timing cbx_1__0_/chanx_left_in[5] +set_disable_timing cbx_1__0_/chanx_right_in[5] +set_disable_timing cbx_1__0_/chanx_left_in[6] +set_disable_timing cbx_1__0_/chanx_right_in[6] +set_disable_timing cbx_1__0_/chanx_left_in[7] +set_disable_timing cbx_1__0_/chanx_right_in[7] +set_disable_timing cbx_1__0_/chanx_left_in[8] +set_disable_timing cbx_1__0_/chanx_right_in[8] +set_disable_timing cbx_1__0_/chanx_left_in[9] +set_disable_timing cbx_1__0_/chanx_right_in[9] +set_disable_timing cbx_1__0_/chanx_left_out[0] +set_disable_timing cbx_1__0_/chanx_right_out[0] +set_disable_timing cbx_1__0_/chanx_left_out[1] +set_disable_timing cbx_1__0_/chanx_right_out[1] +set_disable_timing cbx_1__0_/chanx_left_out[2] +set_disable_timing cbx_1__0_/chanx_right_out[2] +set_disable_timing cbx_1__0_/chanx_left_out[3] +set_disable_timing cbx_1__0_/chanx_right_out[3] +set_disable_timing cbx_1__0_/chanx_left_out[4] +set_disable_timing cbx_1__0_/chanx_right_out[4] +set_disable_timing cbx_1__0_/chanx_left_out[5] +set_disable_timing cbx_1__0_/chanx_right_out[5] +set_disable_timing cbx_1__0_/chanx_left_out[6] +set_disable_timing cbx_1__0_/chanx_right_out[6] +set_disable_timing cbx_1__0_/chanx_left_out[7] +set_disable_timing cbx_1__0_/chanx_right_out[7] +set_disable_timing cbx_1__0_/chanx_left_out[8] +set_disable_timing cbx_1__0_/chanx_right_out[8] +set_disable_timing cbx_1__0_/chanx_left_out[9] +set_disable_timing cbx_1__0_/chanx_right_out[9] +set_disable_timing cbx_1__0_/top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_[0] +set_disable_timing cbx_1__0_/top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_[0] +set_disable_timing cbx_1__0_/top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_[0] +set_disable_timing cbx_1__0_/bottom_grid_top_width_0_height_0_subtile_0__pin_outpad_0_[0] +set_disable_timing cbx_1__0_/bottom_grid_top_width_0_height_0_subtile_1__pin_outpad_0_[0] +set_disable_timing cbx_1__0_/bottom_grid_top_width_0_height_0_subtile_2__pin_outpad_0_[0] +set_disable_timing cbx_1__0_/bottom_grid_top_width_0_height_0_subtile_3__pin_outpad_0_[0] +set_disable_timing cbx_1__0_/bottom_grid_top_width_0_height_0_subtile_4__pin_outpad_0_[0] +set_disable_timing cbx_1__0_/bottom_grid_top_width_0_height_0_subtile_5__pin_outpad_0_[0] +set_disable_timing cbx_1__0_/bottom_grid_top_width_0_height_0_subtile_6__pin_outpad_0_[0] +set_disable_timing cbx_1__0_/bottom_grid_top_width_0_height_0_subtile_7__pin_outpad_0_[0] +set_disable_timing cbx_1__0_/mux_bottom_ipin_0/in[1] +set_disable_timing cbx_1__0_/mux_top_ipin_2/in[1] +set_disable_timing cbx_1__0_/mux_top_ipin_7/in[1] +set_disable_timing cbx_1__0_/mux_bottom_ipin_0/in[0] +set_disable_timing cbx_1__0_/mux_top_ipin_2/in[0] +set_disable_timing cbx_1__0_/mux_top_ipin_7/in[0] +set_disable_timing cbx_1__0_/mux_bottom_ipin_1/in[1] +set_disable_timing cbx_1__0_/mux_top_ipin_3/in[1] +set_disable_timing cbx_1__0_/mux_bottom_ipin_1/in[0] +set_disable_timing cbx_1__0_/mux_top_ipin_3/in[0] +set_disable_timing cbx_1__0_/mux_bottom_ipin_2/in[1] +set_disable_timing cbx_1__0_/mux_top_ipin_4/in[1] +set_disable_timing cbx_1__0_/mux_bottom_ipin_2/in[0] +set_disable_timing cbx_1__0_/mux_top_ipin_4/in[0] +set_disable_timing cbx_1__0_/mux_top_ipin_0/in[1] +set_disable_timing cbx_1__0_/mux_top_ipin_5/in[1] +set_disable_timing cbx_1__0_/mux_top_ipin_0/in[0] +set_disable_timing cbx_1__0_/mux_top_ipin_5/in[0] +set_disable_timing cbx_1__0_/mux_top_ipin_1/in[1] +set_disable_timing cbx_1__0_/mux_top_ipin_6/in[1] +set_disable_timing cbx_1__0_/mux_top_ipin_1/in[0] +set_disable_timing cbx_1__0_/mux_top_ipin_6/in[0] +set_disable_timing cbx_1__0_/mux_bottom_ipin_0/in[3] +set_disable_timing cbx_1__0_/mux_top_ipin_2/in[3] +set_disable_timing cbx_1__0_/mux_top_ipin_7/in[3] +set_disable_timing cbx_1__0_/mux_bottom_ipin_0/in[2] +set_disable_timing cbx_1__0_/mux_top_ipin_2/in[2] +set_disable_timing cbx_1__0_/mux_top_ipin_7/in[2] +set_disable_timing cbx_1__0_/mux_top_ipin_3/in[3] +set_disable_timing cbx_1__0_/mux_top_ipin_3/in[2] +set_disable_timing cbx_1__0_/mux_bottom_ipin_2/in[3] +set_disable_timing cbx_1__0_/mux_top_ipin_4/in[3] +set_disable_timing cbx_1__0_/mux_bottom_ipin_2/in[2] +set_disable_timing cbx_1__0_/mux_top_ipin_4/in[2] +set_disable_timing cbx_1__0_/mux_top_ipin_0/in[3] +set_disable_timing cbx_1__0_/mux_top_ipin_5/in[3] +set_disable_timing cbx_1__0_/mux_top_ipin_0/in[2] +set_disable_timing cbx_1__0_/mux_top_ipin_5/in[2] +set_disable_timing cbx_1__0_/mux_top_ipin_1/in[3] +set_disable_timing cbx_1__0_/mux_top_ipin_6/in[3] +set_disable_timing cbx_1__0_/mux_top_ipin_1/in[2] +set_disable_timing cbx_1__0_/mux_top_ipin_6/in[2] +################################################## +# Disable timing for Connection block cbx_1__1_ +################################################## +set_disable_timing cbx_1__1_/chanx_left_in[0] +set_disable_timing cbx_1__1_/chanx_right_in[0] +set_disable_timing cbx_1__1_/chanx_left_in[1] +set_disable_timing cbx_1__1_/chanx_right_in[1] +set_disable_timing cbx_1__1_/chanx_left_in[2] +set_disable_timing cbx_1__1_/chanx_right_in[2] +set_disable_timing cbx_1__1_/chanx_left_in[3] +set_disable_timing cbx_1__1_/chanx_right_in[3] +set_disable_timing cbx_1__1_/chanx_left_in[4] +set_disable_timing cbx_1__1_/chanx_right_in[4] +set_disable_timing cbx_1__1_/chanx_left_in[5] +set_disable_timing cbx_1__1_/chanx_right_in[5] +set_disable_timing cbx_1__1_/chanx_left_in[6] +set_disable_timing cbx_1__1_/chanx_right_in[6] +set_disable_timing cbx_1__1_/chanx_left_in[7] +set_disable_timing cbx_1__1_/chanx_right_in[7] +set_disable_timing cbx_1__1_/chanx_left_in[8] +set_disable_timing cbx_1__1_/chanx_right_in[8] +set_disable_timing cbx_1__1_/chanx_left_in[9] +set_disable_timing cbx_1__1_/chanx_right_in[9] +set_disable_timing cbx_1__1_/chanx_left_out[0] +set_disable_timing cbx_1__1_/chanx_right_out[0] +set_disable_timing cbx_1__1_/chanx_left_out[1] +set_disable_timing cbx_1__1_/chanx_right_out[1] +set_disable_timing cbx_1__1_/chanx_left_out[2] +set_disable_timing cbx_1__1_/chanx_right_out[2] +set_disable_timing cbx_1__1_/chanx_left_out[3] +set_disable_timing cbx_1__1_/chanx_right_out[3] +set_disable_timing cbx_1__1_/chanx_left_out[4] +set_disable_timing cbx_1__1_/chanx_right_out[4] +set_disable_timing cbx_1__1_/chanx_left_out[5] +set_disable_timing cbx_1__1_/chanx_right_out[5] +set_disable_timing cbx_1__1_/chanx_left_out[6] +set_disable_timing cbx_1__1_/chanx_right_out[6] +set_disable_timing cbx_1__1_/chanx_left_out[7] +set_disable_timing cbx_1__1_/chanx_right_out[7] +set_disable_timing cbx_1__1_/chanx_left_out[8] +set_disable_timing cbx_1__1_/chanx_right_out[8] +set_disable_timing cbx_1__1_/chanx_left_out[9] +set_disable_timing cbx_1__1_/chanx_right_out[9] +set_disable_timing cbx_1__1_/top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_[0] +set_disable_timing cbx_1__1_/top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_[0] +set_disable_timing cbx_1__1_/top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_[0] +set_disable_timing cbx_1__1_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_[0] +set_disable_timing cbx_1__1_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_[0] +set_disable_timing cbx_1__1_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_[0] +set_disable_timing cbx_1__1_/mux_bottom_ipin_0/in[1] +set_disable_timing cbx_1__1_/mux_bottom_ipin_0/in[0] +set_disable_timing cbx_1__1_/mux_bottom_ipin_1/in[1] +set_disable_timing cbx_1__1_/mux_bottom_ipin_1/in[0] +set_disable_timing cbx_1__1_/mux_bottom_ipin_2/in[1] +set_disable_timing cbx_1__1_/mux_bottom_ipin_2/in[0] +set_disable_timing cbx_1__1_/mux_top_ipin_0/in[1] +set_disable_timing cbx_1__1_/mux_top_ipin_0/in[0] +set_disable_timing cbx_1__1_/mux_top_ipin_1/in[1] +set_disable_timing cbx_1__1_/mux_top_ipin_1/in[0] +set_disable_timing cbx_1__1_/mux_bottom_ipin_0/in[3] +set_disable_timing cbx_1__1_/mux_top_ipin_2/in[1] +set_disable_timing cbx_1__1_/mux_bottom_ipin_0/in[2] +set_disable_timing cbx_1__1_/mux_top_ipin_2/in[0] +set_disable_timing cbx_1__1_/mux_bottom_ipin_2/in[3] +set_disable_timing cbx_1__1_/mux_bottom_ipin_2/in[2] +set_disable_timing cbx_1__1_/mux_top_ipin_0/in[3] +set_disable_timing cbx_1__1_/mux_top_ipin_0/in[2] +set_disable_timing cbx_1__1_/mux_top_ipin_1/in[3] +set_disable_timing cbx_1__1_/mux_top_ipin_1/in[2] +################################################## +# Disable timing for Connection block cbx_1__1_ +################################################## +set_disable_timing cbx_1__2_/chanx_left_in[0] +set_disable_timing cbx_1__2_/chanx_right_in[0] +set_disable_timing cbx_1__2_/chanx_left_in[1] +set_disable_timing cbx_1__2_/chanx_right_in[1] +set_disable_timing cbx_1__2_/chanx_left_in[2] +set_disable_timing cbx_1__2_/chanx_right_in[2] +set_disable_timing cbx_1__2_/chanx_left_in[3] +set_disable_timing cbx_1__2_/chanx_right_in[3] +set_disable_timing cbx_1__2_/chanx_left_in[4] +set_disable_timing cbx_1__2_/chanx_right_in[4] +set_disable_timing cbx_1__2_/chanx_left_in[5] +set_disable_timing cbx_1__2_/chanx_right_in[5] +set_disable_timing cbx_1__2_/chanx_left_in[6] +set_disable_timing cbx_1__2_/chanx_right_in[6] +set_disable_timing cbx_1__2_/chanx_left_in[7] +set_disable_timing cbx_1__2_/chanx_right_in[7] +set_disable_timing cbx_1__2_/chanx_left_in[8] +set_disable_timing cbx_1__2_/chanx_right_in[8] +set_disable_timing cbx_1__2_/chanx_left_in[9] +set_disable_timing cbx_1__2_/chanx_right_in[9] +set_disable_timing cbx_1__2_/chanx_left_out[0] +set_disable_timing cbx_1__2_/chanx_right_out[0] +set_disable_timing cbx_1__2_/chanx_left_out[1] +set_disable_timing cbx_1__2_/chanx_right_out[1] +set_disable_timing cbx_1__2_/chanx_left_out[2] +set_disable_timing cbx_1__2_/chanx_right_out[2] +set_disable_timing cbx_1__2_/chanx_left_out[3] +set_disable_timing cbx_1__2_/chanx_right_out[3] +set_disable_timing cbx_1__2_/chanx_left_out[4] +set_disable_timing cbx_1__2_/chanx_right_out[4] +set_disable_timing cbx_1__2_/chanx_left_out[5] +set_disable_timing cbx_1__2_/chanx_right_out[5] +set_disable_timing cbx_1__2_/chanx_left_out[6] +set_disable_timing cbx_1__2_/chanx_right_out[6] +set_disable_timing cbx_1__2_/chanx_left_out[7] +set_disable_timing cbx_1__2_/chanx_right_out[7] +set_disable_timing cbx_1__2_/chanx_left_out[8] +set_disable_timing cbx_1__2_/chanx_right_out[8] +set_disable_timing cbx_1__2_/chanx_left_out[9] +set_disable_timing cbx_1__2_/chanx_right_out[9] +set_disable_timing cbx_1__2_/top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_[0] +set_disable_timing cbx_1__2_/top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_[0] +set_disable_timing cbx_1__2_/top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_[0] +set_disable_timing cbx_1__2_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_[0] +set_disable_timing cbx_1__2_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_[0] +set_disable_timing cbx_1__2_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_[0] +set_disable_timing cbx_1__2_/mux_bottom_ipin_0/in[1] +set_disable_timing cbx_1__2_/mux_bottom_ipin_0/in[0] +set_disable_timing cbx_1__2_/mux_bottom_ipin_1/in[1] +set_disable_timing cbx_1__2_/mux_bottom_ipin_1/in[0] +set_disable_timing cbx_1__2_/mux_bottom_ipin_2/in[1] +set_disable_timing cbx_1__2_/mux_bottom_ipin_2/in[0] +set_disable_timing cbx_1__2_/mux_top_ipin_0/in[1] +set_disable_timing cbx_1__2_/mux_top_ipin_0/in[0] +set_disable_timing cbx_1__2_/mux_top_ipin_1/in[1] +set_disable_timing cbx_1__2_/mux_top_ipin_1/in[0] +set_disable_timing cbx_1__2_/mux_bottom_ipin_0/in[3] +set_disable_timing cbx_1__2_/mux_top_ipin_2/in[1] +set_disable_timing cbx_1__2_/mux_bottom_ipin_0/in[2] +set_disable_timing cbx_1__2_/mux_top_ipin_2/in[0] +set_disable_timing cbx_1__2_/mux_bottom_ipin_2/in[3] +set_disable_timing cbx_1__2_/mux_bottom_ipin_2/in[2] +set_disable_timing cbx_1__2_/mux_top_ipin_0/in[3] +set_disable_timing cbx_1__2_/mux_top_ipin_0/in[2] +set_disable_timing cbx_1__2_/mux_top_ipin_1/in[3] +set_disable_timing cbx_1__2_/mux_top_ipin_1/in[2] +################################################## +# Disable timing for Connection block cbx_1__1_ +################################################## +set_disable_timing cbx_1__3_/chanx_left_in[0] +set_disable_timing cbx_1__3_/chanx_right_in[0] +set_disable_timing cbx_1__3_/chanx_left_in[1] +set_disable_timing cbx_1__3_/chanx_right_in[1] +set_disable_timing cbx_1__3_/chanx_left_in[2] +set_disable_timing cbx_1__3_/chanx_right_in[2] +set_disable_timing cbx_1__3_/chanx_left_in[3] +set_disable_timing cbx_1__3_/chanx_right_in[3] +set_disable_timing cbx_1__3_/chanx_left_in[4] +set_disable_timing cbx_1__3_/chanx_right_in[4] +set_disable_timing cbx_1__3_/chanx_left_in[5] +set_disable_timing cbx_1__3_/chanx_right_in[5] +set_disable_timing cbx_1__3_/chanx_left_in[6] +set_disable_timing cbx_1__3_/chanx_right_in[6] +set_disable_timing cbx_1__3_/chanx_left_in[7] +set_disable_timing cbx_1__3_/chanx_right_in[7] +set_disable_timing cbx_1__3_/chanx_left_in[8] +set_disable_timing cbx_1__3_/chanx_right_in[8] +set_disable_timing cbx_1__3_/chanx_left_in[9] +set_disable_timing cbx_1__3_/chanx_right_in[9] +set_disable_timing cbx_1__3_/chanx_left_out[0] +set_disable_timing cbx_1__3_/chanx_right_out[0] +set_disable_timing cbx_1__3_/chanx_left_out[1] +set_disable_timing cbx_1__3_/chanx_right_out[1] +set_disable_timing cbx_1__3_/chanx_left_out[2] +set_disable_timing cbx_1__3_/chanx_right_out[2] +set_disable_timing cbx_1__3_/chanx_left_out[3] +set_disable_timing cbx_1__3_/chanx_right_out[3] +set_disable_timing cbx_1__3_/chanx_left_out[4] +set_disable_timing cbx_1__3_/chanx_right_out[4] +set_disable_timing cbx_1__3_/chanx_left_out[5] +set_disable_timing cbx_1__3_/chanx_right_out[5] +set_disable_timing cbx_1__3_/chanx_left_out[6] +set_disable_timing cbx_1__3_/chanx_right_out[6] +set_disable_timing cbx_1__3_/chanx_left_out[7] +set_disable_timing cbx_1__3_/chanx_right_out[7] +set_disable_timing cbx_1__3_/chanx_left_out[8] +set_disable_timing cbx_1__3_/chanx_right_out[8] +set_disable_timing cbx_1__3_/chanx_left_out[9] +set_disable_timing cbx_1__3_/chanx_right_out[9] +set_disable_timing cbx_1__3_/top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_[0] +set_disable_timing cbx_1__3_/top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_[0] +set_disable_timing cbx_1__3_/top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_[0] +set_disable_timing cbx_1__3_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_[0] +set_disable_timing cbx_1__3_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_[0] +set_disable_timing cbx_1__3_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_[0] +set_disable_timing cbx_1__3_/mux_bottom_ipin_0/in[1] +set_disable_timing cbx_1__3_/mux_bottom_ipin_0/in[0] +set_disable_timing cbx_1__3_/mux_bottom_ipin_1/in[1] +set_disable_timing cbx_1__3_/mux_bottom_ipin_1/in[0] +set_disable_timing cbx_1__3_/mux_bottom_ipin_2/in[1] +set_disable_timing cbx_1__3_/mux_bottom_ipin_2/in[0] +set_disable_timing cbx_1__3_/mux_top_ipin_0/in[1] +set_disable_timing cbx_1__3_/mux_top_ipin_0/in[0] +set_disable_timing cbx_1__3_/mux_top_ipin_1/in[1] +set_disable_timing cbx_1__3_/mux_top_ipin_1/in[0] +set_disable_timing cbx_1__3_/mux_bottom_ipin_0/in[3] +set_disable_timing cbx_1__3_/mux_top_ipin_2/in[1] +set_disable_timing cbx_1__3_/mux_bottom_ipin_0/in[2] +set_disable_timing cbx_1__3_/mux_top_ipin_2/in[0] +set_disable_timing cbx_1__3_/mux_bottom_ipin_2/in[3] +set_disable_timing cbx_1__3_/mux_bottom_ipin_2/in[2] +set_disable_timing cbx_1__3_/mux_top_ipin_0/in[3] +set_disable_timing cbx_1__3_/mux_top_ipin_0/in[2] +set_disable_timing cbx_1__3_/mux_top_ipin_1/in[3] +set_disable_timing cbx_1__3_/mux_top_ipin_1/in[2] +################################################## +# Disable timing for Connection block cbx_1__4_ +################################################## +set_disable_timing cbx_1__4_/chanx_left_in[0] +set_disable_timing cbx_1__4_/chanx_right_in[0] +set_disable_timing cbx_1__4_/chanx_left_in[1] +set_disable_timing cbx_1__4_/chanx_right_in[1] +set_disable_timing cbx_1__4_/chanx_left_in[2] +set_disable_timing cbx_1__4_/chanx_right_in[2] +set_disable_timing cbx_1__4_/chanx_left_in[3] +set_disable_timing cbx_1__4_/chanx_right_in[3] +set_disable_timing cbx_1__4_/chanx_left_in[4] +set_disable_timing cbx_1__4_/chanx_right_in[4] +set_disable_timing cbx_1__4_/chanx_left_in[5] +set_disable_timing cbx_1__4_/chanx_right_in[5] +set_disable_timing cbx_1__4_/chanx_left_in[6] +set_disable_timing cbx_1__4_/chanx_right_in[6] +set_disable_timing cbx_1__4_/chanx_left_in[7] +set_disable_timing cbx_1__4_/chanx_right_in[7] +set_disable_timing cbx_1__4_/chanx_left_in[8] +set_disable_timing cbx_1__4_/chanx_right_in[8] +set_disable_timing cbx_1__4_/chanx_left_in[9] +set_disable_timing cbx_1__4_/chanx_right_in[9] +set_disable_timing cbx_1__4_/chanx_left_out[0] +set_disable_timing cbx_1__4_/chanx_right_out[0] +set_disable_timing cbx_1__4_/chanx_left_out[1] +set_disable_timing cbx_1__4_/chanx_right_out[1] +set_disable_timing cbx_1__4_/chanx_left_out[2] +set_disable_timing cbx_1__4_/chanx_right_out[2] +set_disable_timing cbx_1__4_/chanx_left_out[3] +set_disable_timing cbx_1__4_/chanx_right_out[3] +set_disable_timing cbx_1__4_/chanx_left_out[4] +set_disable_timing cbx_1__4_/chanx_right_out[4] +set_disable_timing cbx_1__4_/chanx_left_out[5] +set_disable_timing cbx_1__4_/chanx_right_out[5] +set_disable_timing cbx_1__4_/chanx_left_out[6] +set_disable_timing cbx_1__4_/chanx_right_out[6] +set_disable_timing cbx_1__4_/chanx_left_out[7] +set_disable_timing cbx_1__4_/chanx_right_out[7] +set_disable_timing cbx_1__4_/chanx_left_out[8] +set_disable_timing cbx_1__4_/chanx_right_out[8] +set_disable_timing cbx_1__4_/chanx_left_out[9] +set_disable_timing cbx_1__4_/chanx_right_out[9] +set_disable_timing cbx_1__4_/top_grid_bottom_width_0_height_0_subtile_0__pin_outpad_0_[0] +set_disable_timing cbx_1__4_/top_grid_bottom_width_0_height_0_subtile_1__pin_outpad_0_[0] +set_disable_timing cbx_1__4_/top_grid_bottom_width_0_height_0_subtile_2__pin_outpad_0_[0] +set_disable_timing cbx_1__4_/top_grid_bottom_width_0_height_0_subtile_3__pin_outpad_0_[0] +set_disable_timing cbx_1__4_/top_grid_bottom_width_0_height_0_subtile_4__pin_outpad_0_[0] +set_disable_timing cbx_1__4_/top_grid_bottom_width_0_height_0_subtile_5__pin_outpad_0_[0] +set_disable_timing cbx_1__4_/top_grid_bottom_width_0_height_0_subtile_6__pin_outpad_0_[0] +set_disable_timing cbx_1__4_/top_grid_bottom_width_0_height_0_subtile_7__pin_outpad_0_[0] +set_disable_timing cbx_1__4_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_[0] +set_disable_timing cbx_1__4_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_[0] +set_disable_timing cbx_1__4_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_[0] +set_disable_timing cbx_1__4_/mux_bottom_ipin_0/in[1] +set_disable_timing cbx_1__4_/mux_bottom_ipin_5/in[1] +set_disable_timing cbx_1__4_/mux_top_ipin_2/in[1] +set_disable_timing cbx_1__4_/mux_bottom_ipin_0/in[0] +set_disable_timing cbx_1__4_/mux_bottom_ipin_5/in[0] +set_disable_timing cbx_1__4_/mux_top_ipin_2/in[0] +set_disable_timing cbx_1__4_/mux_bottom_ipin_1/in[1] +set_disable_timing cbx_1__4_/mux_bottom_ipin_6/in[1] +set_disable_timing cbx_1__4_/mux_bottom_ipin_1/in[0] +set_disable_timing cbx_1__4_/mux_bottom_ipin_6/in[0] +set_disable_timing cbx_1__4_/mux_bottom_ipin_2/in[1] +set_disable_timing cbx_1__4_/mux_bottom_ipin_7/in[1] +set_disable_timing cbx_1__4_/mux_bottom_ipin_2/in[0] +set_disable_timing cbx_1__4_/mux_bottom_ipin_7/in[0] +set_disable_timing cbx_1__4_/mux_bottom_ipin_3/in[1] +set_disable_timing cbx_1__4_/mux_top_ipin_0/in[1] +set_disable_timing cbx_1__4_/mux_bottom_ipin_3/in[0] +set_disable_timing cbx_1__4_/mux_top_ipin_0/in[0] +set_disable_timing cbx_1__4_/mux_bottom_ipin_4/in[1] +set_disable_timing cbx_1__4_/mux_top_ipin_1/in[1] +set_disable_timing cbx_1__4_/mux_bottom_ipin_4/in[0] +set_disable_timing cbx_1__4_/mux_top_ipin_1/in[0] +set_disable_timing cbx_1__4_/mux_bottom_ipin_0/in[3] +set_disable_timing cbx_1__4_/mux_bottom_ipin_5/in[3] +set_disable_timing cbx_1__4_/mux_bottom_ipin_0/in[2] +set_disable_timing cbx_1__4_/mux_bottom_ipin_5/in[2] +set_disable_timing cbx_1__4_/mux_bottom_ipin_1/in[3] +set_disable_timing cbx_1__4_/mux_bottom_ipin_6/in[3] +set_disable_timing cbx_1__4_/mux_bottom_ipin_1/in[2] +set_disable_timing cbx_1__4_/mux_bottom_ipin_6/in[2] +set_disable_timing cbx_1__4_/mux_bottom_ipin_2/in[3] +set_disable_timing cbx_1__4_/mux_bottom_ipin_7/in[3] +set_disable_timing cbx_1__4_/mux_bottom_ipin_2/in[2] +set_disable_timing cbx_1__4_/mux_bottom_ipin_7/in[2] +set_disable_timing cbx_1__4_/mux_bottom_ipin_3/in[3] +set_disable_timing cbx_1__4_/mux_top_ipin_0/in[3] +set_disable_timing cbx_1__4_/mux_bottom_ipin_3/in[2] +set_disable_timing cbx_1__4_/mux_top_ipin_0/in[2] +set_disable_timing cbx_1__4_/mux_bottom_ipin_4/in[3] +set_disable_timing cbx_1__4_/mux_top_ipin_1/in[3] +set_disable_timing cbx_1__4_/mux_bottom_ipin_4/in[2] +set_disable_timing cbx_1__4_/mux_top_ipin_1/in[2] +################################################## +# Disable timing for Connection block cbx_1__0_ +################################################## +set_disable_timing cbx_2__0_/chanx_left_in[0] +set_disable_timing cbx_2__0_/chanx_right_in[0] +set_disable_timing cbx_2__0_/chanx_left_in[1] +set_disable_timing cbx_2__0_/chanx_right_in[1] +set_disable_timing cbx_2__0_/chanx_left_in[2] +set_disable_timing cbx_2__0_/chanx_right_in[2] +set_disable_timing cbx_2__0_/chanx_left_in[3] +set_disable_timing cbx_2__0_/chanx_right_in[3] +set_disable_timing cbx_2__0_/chanx_left_in[4] +set_disable_timing cbx_2__0_/chanx_right_in[4] +set_disable_timing cbx_2__0_/chanx_left_in[5] +set_disable_timing cbx_2__0_/chanx_right_in[5] +set_disable_timing cbx_2__0_/chanx_left_in[6] +set_disable_timing cbx_2__0_/chanx_right_in[6] +set_disable_timing cbx_2__0_/chanx_left_in[7] +set_disable_timing cbx_2__0_/chanx_right_in[7] +set_disable_timing cbx_2__0_/chanx_left_in[8] +set_disable_timing cbx_2__0_/chanx_right_in[8] +set_disable_timing cbx_2__0_/chanx_left_in[9] +set_disable_timing cbx_2__0_/chanx_right_in[9] +set_disable_timing cbx_2__0_/chanx_left_out[0] +set_disable_timing cbx_2__0_/chanx_right_out[0] +set_disable_timing cbx_2__0_/chanx_left_out[1] +set_disable_timing cbx_2__0_/chanx_right_out[1] +set_disable_timing cbx_2__0_/chanx_left_out[2] +set_disable_timing cbx_2__0_/chanx_right_out[2] +set_disable_timing cbx_2__0_/chanx_left_out[3] +set_disable_timing cbx_2__0_/chanx_right_out[3] +set_disable_timing cbx_2__0_/chanx_left_out[4] +set_disable_timing cbx_2__0_/chanx_right_out[4] +set_disable_timing cbx_2__0_/chanx_left_out[5] +set_disable_timing cbx_2__0_/chanx_right_out[5] +set_disable_timing cbx_2__0_/chanx_left_out[6] +set_disable_timing cbx_2__0_/chanx_right_out[6] +set_disable_timing cbx_2__0_/chanx_left_out[7] +set_disable_timing cbx_2__0_/chanx_right_out[7] +set_disable_timing cbx_2__0_/chanx_left_out[8] +set_disable_timing cbx_2__0_/chanx_right_out[8] +set_disable_timing cbx_2__0_/chanx_left_out[9] +set_disable_timing cbx_2__0_/chanx_right_out[9] +set_disable_timing cbx_2__0_/top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_[0] +set_disable_timing cbx_2__0_/top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_[0] +set_disable_timing cbx_2__0_/top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_[0] +set_disable_timing cbx_2__0_/bottom_grid_top_width_0_height_0_subtile_0__pin_outpad_0_[0] +set_disable_timing cbx_2__0_/bottom_grid_top_width_0_height_0_subtile_1__pin_outpad_0_[0] +set_disable_timing cbx_2__0_/bottom_grid_top_width_0_height_0_subtile_2__pin_outpad_0_[0] +set_disable_timing cbx_2__0_/bottom_grid_top_width_0_height_0_subtile_3__pin_outpad_0_[0] +set_disable_timing cbx_2__0_/bottom_grid_top_width_0_height_0_subtile_4__pin_outpad_0_[0] +set_disable_timing cbx_2__0_/bottom_grid_top_width_0_height_0_subtile_5__pin_outpad_0_[0] +set_disable_timing cbx_2__0_/bottom_grid_top_width_0_height_0_subtile_6__pin_outpad_0_[0] +set_disable_timing cbx_2__0_/bottom_grid_top_width_0_height_0_subtile_7__pin_outpad_0_[0] +set_disable_timing cbx_2__0_/mux_bottom_ipin_0/in[1] +set_disable_timing cbx_2__0_/mux_top_ipin_2/in[1] +set_disable_timing cbx_2__0_/mux_top_ipin_7/in[1] +set_disable_timing cbx_2__0_/mux_bottom_ipin_0/in[0] +set_disable_timing cbx_2__0_/mux_top_ipin_2/in[0] +set_disable_timing cbx_2__0_/mux_top_ipin_7/in[0] +set_disable_timing cbx_2__0_/mux_bottom_ipin_1/in[1] +set_disable_timing cbx_2__0_/mux_top_ipin_3/in[1] +set_disable_timing cbx_2__0_/mux_bottom_ipin_1/in[0] +set_disable_timing cbx_2__0_/mux_top_ipin_3/in[0] +set_disable_timing cbx_2__0_/mux_bottom_ipin_2/in[1] +set_disable_timing cbx_2__0_/mux_top_ipin_4/in[1] +set_disable_timing cbx_2__0_/mux_bottom_ipin_2/in[0] +set_disable_timing cbx_2__0_/mux_top_ipin_4/in[0] +set_disable_timing cbx_2__0_/mux_top_ipin_0/in[1] +set_disable_timing cbx_2__0_/mux_top_ipin_5/in[1] +set_disable_timing cbx_2__0_/mux_top_ipin_0/in[0] +set_disable_timing cbx_2__0_/mux_top_ipin_5/in[0] +set_disable_timing cbx_2__0_/mux_top_ipin_1/in[1] +set_disable_timing cbx_2__0_/mux_top_ipin_6/in[1] +set_disable_timing cbx_2__0_/mux_top_ipin_1/in[0] +set_disable_timing cbx_2__0_/mux_top_ipin_6/in[0] +set_disable_timing cbx_2__0_/mux_bottom_ipin_0/in[3] +set_disable_timing cbx_2__0_/mux_top_ipin_2/in[3] +set_disable_timing cbx_2__0_/mux_top_ipin_7/in[3] +set_disable_timing cbx_2__0_/mux_bottom_ipin_0/in[2] +set_disable_timing cbx_2__0_/mux_top_ipin_2/in[2] +set_disable_timing cbx_2__0_/mux_top_ipin_7/in[2] +set_disable_timing cbx_2__0_/mux_top_ipin_3/in[3] +set_disable_timing cbx_2__0_/mux_top_ipin_3/in[2] +set_disable_timing cbx_2__0_/mux_bottom_ipin_2/in[3] +set_disable_timing cbx_2__0_/mux_top_ipin_4/in[3] +set_disable_timing cbx_2__0_/mux_bottom_ipin_2/in[2] +set_disable_timing cbx_2__0_/mux_top_ipin_4/in[2] +set_disable_timing cbx_2__0_/mux_top_ipin_0/in[3] +set_disable_timing cbx_2__0_/mux_top_ipin_5/in[3] +set_disable_timing cbx_2__0_/mux_top_ipin_0/in[2] +set_disable_timing cbx_2__0_/mux_top_ipin_5/in[2] +set_disable_timing cbx_2__0_/mux_top_ipin_1/in[3] +set_disable_timing cbx_2__0_/mux_top_ipin_6/in[3] +set_disable_timing cbx_2__0_/mux_top_ipin_1/in[2] +set_disable_timing cbx_2__0_/mux_top_ipin_6/in[2] +################################################## +# Disable timing for Connection block cbx_1__1_ +################################################## +set_disable_timing cbx_2__1_/chanx_left_in[0] +set_disable_timing cbx_2__1_/chanx_right_in[0] +set_disable_timing cbx_2__1_/chanx_left_in[1] +set_disable_timing cbx_2__1_/chanx_right_in[1] +set_disable_timing cbx_2__1_/chanx_left_in[2] +set_disable_timing cbx_2__1_/chanx_right_in[2] +set_disable_timing cbx_2__1_/chanx_left_in[3] +set_disable_timing cbx_2__1_/chanx_right_in[3] +set_disable_timing cbx_2__1_/chanx_left_in[4] +set_disable_timing cbx_2__1_/chanx_right_in[4] +set_disable_timing cbx_2__1_/chanx_left_in[5] +set_disable_timing cbx_2__1_/chanx_right_in[5] +set_disable_timing cbx_2__1_/chanx_left_in[6] +set_disable_timing cbx_2__1_/chanx_right_in[6] +set_disable_timing cbx_2__1_/chanx_left_in[7] +set_disable_timing cbx_2__1_/chanx_right_in[7] +set_disable_timing cbx_2__1_/chanx_left_in[8] +set_disable_timing cbx_2__1_/chanx_right_in[8] +set_disable_timing cbx_2__1_/chanx_left_in[9] +set_disable_timing cbx_2__1_/chanx_right_in[9] +set_disable_timing cbx_2__1_/chanx_left_out[0] +set_disable_timing cbx_2__1_/chanx_right_out[0] +set_disable_timing cbx_2__1_/chanx_left_out[1] +set_disable_timing cbx_2__1_/chanx_right_out[1] +set_disable_timing cbx_2__1_/chanx_left_out[2] +set_disable_timing cbx_2__1_/chanx_right_out[2] +set_disable_timing cbx_2__1_/chanx_left_out[3] +set_disable_timing cbx_2__1_/chanx_right_out[3] +set_disable_timing cbx_2__1_/chanx_left_out[4] +set_disable_timing cbx_2__1_/chanx_right_out[4] +set_disable_timing cbx_2__1_/chanx_left_out[5] +set_disable_timing cbx_2__1_/chanx_right_out[5] +set_disable_timing cbx_2__1_/chanx_left_out[6] +set_disable_timing cbx_2__1_/chanx_right_out[6] +set_disable_timing cbx_2__1_/chanx_left_out[7] +set_disable_timing cbx_2__1_/chanx_right_out[7] +set_disable_timing cbx_2__1_/chanx_left_out[8] +set_disable_timing cbx_2__1_/chanx_right_out[8] +set_disable_timing cbx_2__1_/chanx_left_out[9] +set_disable_timing cbx_2__1_/chanx_right_out[9] +set_disable_timing cbx_2__1_/top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_[0] +set_disable_timing cbx_2__1_/top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_[0] +set_disable_timing cbx_2__1_/top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_[0] +set_disable_timing cbx_2__1_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_[0] +set_disable_timing cbx_2__1_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_[0] +set_disable_timing cbx_2__1_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_[0] +set_disable_timing cbx_2__1_/mux_bottom_ipin_0/in[1] +set_disable_timing cbx_2__1_/mux_bottom_ipin_0/in[0] +set_disable_timing cbx_2__1_/mux_bottom_ipin_1/in[1] +set_disable_timing cbx_2__1_/mux_bottom_ipin_1/in[0] +set_disable_timing cbx_2__1_/mux_bottom_ipin_2/in[1] +set_disable_timing cbx_2__1_/mux_bottom_ipin_2/in[0] +set_disable_timing cbx_2__1_/mux_top_ipin_0/in[1] +set_disable_timing cbx_2__1_/mux_top_ipin_0/in[0] +set_disable_timing cbx_2__1_/mux_top_ipin_1/in[1] +set_disable_timing cbx_2__1_/mux_top_ipin_1/in[0] +set_disable_timing cbx_2__1_/mux_bottom_ipin_0/in[3] +set_disable_timing cbx_2__1_/mux_top_ipin_2/in[1] +set_disable_timing cbx_2__1_/mux_bottom_ipin_0/in[2] +set_disable_timing cbx_2__1_/mux_top_ipin_2/in[0] +set_disable_timing cbx_2__1_/mux_bottom_ipin_2/in[3] +set_disable_timing cbx_2__1_/mux_bottom_ipin_2/in[2] +set_disable_timing cbx_2__1_/mux_top_ipin_0/in[3] +set_disable_timing cbx_2__1_/mux_top_ipin_0/in[2] +set_disable_timing cbx_2__1_/mux_top_ipin_1/in[3] +set_disable_timing cbx_2__1_/mux_top_ipin_1/in[2] +################################################## +# Disable timing for Connection block cbx_1__1_ +################################################## +set_disable_timing cbx_2__2_/chanx_left_in[0] +set_disable_timing cbx_2__2_/chanx_right_in[0] +set_disable_timing cbx_2__2_/chanx_left_in[1] +set_disable_timing cbx_2__2_/chanx_right_in[1] +set_disable_timing cbx_2__2_/chanx_left_in[2] +set_disable_timing cbx_2__2_/chanx_right_in[2] +set_disable_timing cbx_2__2_/chanx_left_in[3] +set_disable_timing cbx_2__2_/chanx_right_in[3] +set_disable_timing cbx_2__2_/chanx_left_in[4] +set_disable_timing cbx_2__2_/chanx_right_in[4] +set_disable_timing cbx_2__2_/chanx_left_in[5] +set_disable_timing cbx_2__2_/chanx_right_in[5] +set_disable_timing cbx_2__2_/chanx_left_in[6] +set_disable_timing cbx_2__2_/chanx_right_in[6] +set_disable_timing cbx_2__2_/chanx_left_in[7] +set_disable_timing cbx_2__2_/chanx_right_in[7] +set_disable_timing cbx_2__2_/chanx_left_in[8] +set_disable_timing cbx_2__2_/chanx_right_in[8] +set_disable_timing cbx_2__2_/chanx_left_in[9] +set_disable_timing cbx_2__2_/chanx_right_in[9] +set_disable_timing cbx_2__2_/chanx_left_out[0] +set_disable_timing cbx_2__2_/chanx_right_out[0] +set_disable_timing cbx_2__2_/chanx_left_out[1] +set_disable_timing cbx_2__2_/chanx_right_out[1] +set_disable_timing cbx_2__2_/chanx_left_out[2] +set_disable_timing cbx_2__2_/chanx_right_out[2] +set_disable_timing cbx_2__2_/chanx_left_out[3] +set_disable_timing cbx_2__2_/chanx_right_out[3] +set_disable_timing cbx_2__2_/chanx_left_out[4] +set_disable_timing cbx_2__2_/chanx_right_out[4] +set_disable_timing cbx_2__2_/chanx_left_out[5] +set_disable_timing cbx_2__2_/chanx_right_out[5] +set_disable_timing cbx_2__2_/chanx_left_out[6] +set_disable_timing cbx_2__2_/chanx_right_out[6] +set_disable_timing cbx_2__2_/chanx_left_out[7] +set_disable_timing cbx_2__2_/chanx_right_out[7] +set_disable_timing cbx_2__2_/chanx_left_out[8] +set_disable_timing cbx_2__2_/chanx_right_out[8] +set_disable_timing cbx_2__2_/chanx_left_out[9] +set_disable_timing cbx_2__2_/chanx_right_out[9] +set_disable_timing cbx_2__2_/top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_[0] +set_disable_timing cbx_2__2_/top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_[0] +set_disable_timing cbx_2__2_/top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_[0] +set_disable_timing cbx_2__2_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_[0] +set_disable_timing cbx_2__2_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_[0] +set_disable_timing cbx_2__2_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_[0] +set_disable_timing cbx_2__2_/mux_bottom_ipin_0/in[1] +set_disable_timing cbx_2__2_/mux_bottom_ipin_0/in[0] +set_disable_timing cbx_2__2_/mux_bottom_ipin_1/in[1] +set_disable_timing cbx_2__2_/mux_bottom_ipin_1/in[0] +set_disable_timing cbx_2__2_/mux_bottom_ipin_2/in[1] +set_disable_timing cbx_2__2_/mux_bottom_ipin_2/in[0] +set_disable_timing cbx_2__2_/mux_top_ipin_0/in[1] +set_disable_timing cbx_2__2_/mux_top_ipin_0/in[0] +set_disable_timing cbx_2__2_/mux_top_ipin_1/in[1] +set_disable_timing cbx_2__2_/mux_top_ipin_1/in[0] +set_disable_timing cbx_2__2_/mux_bottom_ipin_0/in[3] +set_disable_timing cbx_2__2_/mux_top_ipin_2/in[1] +set_disable_timing cbx_2__2_/mux_bottom_ipin_0/in[2] +set_disable_timing cbx_2__2_/mux_top_ipin_2/in[0] +set_disable_timing cbx_2__2_/mux_bottom_ipin_2/in[3] +set_disable_timing cbx_2__2_/mux_bottom_ipin_2/in[2] +set_disable_timing cbx_2__2_/mux_top_ipin_0/in[3] +set_disable_timing cbx_2__2_/mux_top_ipin_0/in[2] +set_disable_timing cbx_2__2_/mux_top_ipin_1/in[3] +set_disable_timing cbx_2__2_/mux_top_ipin_1/in[2] +################################################## +# Disable timing for Connection block cbx_1__1_ +################################################## +set_disable_timing cbx_2__3_/chanx_left_in[0] +set_disable_timing cbx_2__3_/chanx_right_in[0] +set_disable_timing cbx_2__3_/chanx_left_in[1] +set_disable_timing cbx_2__3_/chanx_right_in[1] +set_disable_timing cbx_2__3_/chanx_left_in[2] +set_disable_timing cbx_2__3_/chanx_right_in[2] +set_disable_timing cbx_2__3_/chanx_left_in[3] +set_disable_timing cbx_2__3_/chanx_right_in[3] +set_disable_timing cbx_2__3_/chanx_left_in[4] +set_disable_timing cbx_2__3_/chanx_right_in[4] +set_disable_timing cbx_2__3_/chanx_left_in[5] +set_disable_timing cbx_2__3_/chanx_right_in[5] +set_disable_timing cbx_2__3_/chanx_left_in[6] +set_disable_timing cbx_2__3_/chanx_right_in[6] +set_disable_timing cbx_2__3_/chanx_left_in[7] +set_disable_timing cbx_2__3_/chanx_right_in[7] +set_disable_timing cbx_2__3_/chanx_left_in[8] +set_disable_timing cbx_2__3_/chanx_right_in[8] +set_disable_timing cbx_2__3_/chanx_left_in[9] +set_disable_timing cbx_2__3_/chanx_right_in[9] +set_disable_timing cbx_2__3_/chanx_left_out[0] +set_disable_timing cbx_2__3_/chanx_right_out[0] +set_disable_timing cbx_2__3_/chanx_left_out[1] +set_disable_timing cbx_2__3_/chanx_right_out[1] +set_disable_timing cbx_2__3_/chanx_left_out[2] +set_disable_timing cbx_2__3_/chanx_right_out[2] +set_disable_timing cbx_2__3_/chanx_left_out[3] +set_disable_timing cbx_2__3_/chanx_right_out[3] +set_disable_timing cbx_2__3_/chanx_left_out[4] +set_disable_timing cbx_2__3_/chanx_right_out[4] +set_disable_timing cbx_2__3_/chanx_left_out[5] +set_disable_timing cbx_2__3_/chanx_right_out[5] +set_disable_timing cbx_2__3_/chanx_left_out[6] +set_disable_timing cbx_2__3_/chanx_right_out[6] +set_disable_timing cbx_2__3_/chanx_left_out[7] +set_disable_timing cbx_2__3_/chanx_right_out[7] +set_disable_timing cbx_2__3_/chanx_left_out[8] +set_disable_timing cbx_2__3_/chanx_right_out[8] +set_disable_timing cbx_2__3_/chanx_left_out[9] +set_disable_timing cbx_2__3_/chanx_right_out[9] +set_disable_timing cbx_2__3_/top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_[0] +set_disable_timing cbx_2__3_/top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_[0] +set_disable_timing cbx_2__3_/top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_[0] +set_disable_timing cbx_2__3_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_[0] +set_disable_timing cbx_2__3_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_[0] +set_disable_timing cbx_2__3_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_[0] +set_disable_timing cbx_2__3_/mux_bottom_ipin_0/in[1] +set_disable_timing cbx_2__3_/mux_bottom_ipin_0/in[0] +set_disable_timing cbx_2__3_/mux_bottom_ipin_1/in[1] +set_disable_timing cbx_2__3_/mux_bottom_ipin_1/in[0] +set_disable_timing cbx_2__3_/mux_bottom_ipin_2/in[1] +set_disable_timing cbx_2__3_/mux_bottom_ipin_2/in[0] +set_disable_timing cbx_2__3_/mux_top_ipin_0/in[1] +set_disable_timing cbx_2__3_/mux_top_ipin_0/in[0] +set_disable_timing cbx_2__3_/mux_top_ipin_1/in[1] +set_disable_timing cbx_2__3_/mux_top_ipin_1/in[0] +set_disable_timing cbx_2__3_/mux_bottom_ipin_0/in[3] +set_disable_timing cbx_2__3_/mux_top_ipin_2/in[1] +set_disable_timing cbx_2__3_/mux_bottom_ipin_0/in[2] +set_disable_timing cbx_2__3_/mux_top_ipin_2/in[0] +set_disable_timing cbx_2__3_/mux_bottom_ipin_2/in[3] +set_disable_timing cbx_2__3_/mux_bottom_ipin_2/in[2] +set_disable_timing cbx_2__3_/mux_top_ipin_0/in[3] +set_disable_timing cbx_2__3_/mux_top_ipin_0/in[2] +set_disable_timing cbx_2__3_/mux_top_ipin_1/in[3] +set_disable_timing cbx_2__3_/mux_top_ipin_1/in[2] +################################################## +# Disable timing for Connection block cbx_1__4_ +################################################## +set_disable_timing cbx_2__4_/chanx_left_in[0] +set_disable_timing cbx_2__4_/chanx_right_in[0] +set_disable_timing cbx_2__4_/chanx_left_in[1] +set_disable_timing cbx_2__4_/chanx_right_in[1] +set_disable_timing cbx_2__4_/chanx_left_in[2] +set_disable_timing cbx_2__4_/chanx_right_in[2] +set_disable_timing cbx_2__4_/chanx_left_in[3] +set_disable_timing cbx_2__4_/chanx_right_in[3] +set_disable_timing cbx_2__4_/chanx_left_in[4] +set_disable_timing cbx_2__4_/chanx_right_in[4] +set_disable_timing cbx_2__4_/chanx_left_in[5] +set_disable_timing cbx_2__4_/chanx_right_in[5] +set_disable_timing cbx_2__4_/chanx_left_in[6] +set_disable_timing cbx_2__4_/chanx_right_in[6] +set_disable_timing cbx_2__4_/chanx_left_in[7] +set_disable_timing cbx_2__4_/chanx_right_in[7] +set_disable_timing cbx_2__4_/chanx_left_in[8] +set_disable_timing cbx_2__4_/chanx_right_in[8] +set_disable_timing cbx_2__4_/chanx_left_in[9] +set_disable_timing cbx_2__4_/chanx_right_in[9] +set_disable_timing cbx_2__4_/chanx_left_out[0] +set_disable_timing cbx_2__4_/chanx_right_out[0] +set_disable_timing cbx_2__4_/chanx_left_out[1] +set_disable_timing cbx_2__4_/chanx_right_out[1] +set_disable_timing cbx_2__4_/chanx_left_out[2] +set_disable_timing cbx_2__4_/chanx_right_out[2] +set_disable_timing cbx_2__4_/chanx_left_out[3] +set_disable_timing cbx_2__4_/chanx_right_out[3] +set_disable_timing cbx_2__4_/chanx_left_out[4] +set_disable_timing cbx_2__4_/chanx_right_out[4] +set_disable_timing cbx_2__4_/chanx_left_out[5] +set_disable_timing cbx_2__4_/chanx_right_out[5] +set_disable_timing cbx_2__4_/chanx_left_out[6] +set_disable_timing cbx_2__4_/chanx_right_out[6] +set_disable_timing cbx_2__4_/chanx_left_out[7] +set_disable_timing cbx_2__4_/chanx_right_out[7] +set_disable_timing cbx_2__4_/chanx_left_out[8] +set_disable_timing cbx_2__4_/chanx_right_out[8] +set_disable_timing cbx_2__4_/chanx_left_out[9] +set_disable_timing cbx_2__4_/chanx_right_out[9] +set_disable_timing cbx_2__4_/top_grid_bottom_width_0_height_0_subtile_0__pin_outpad_0_[0] +set_disable_timing cbx_2__4_/top_grid_bottom_width_0_height_0_subtile_1__pin_outpad_0_[0] +set_disable_timing cbx_2__4_/top_grid_bottom_width_0_height_0_subtile_2__pin_outpad_0_[0] +set_disable_timing cbx_2__4_/top_grid_bottom_width_0_height_0_subtile_3__pin_outpad_0_[0] +set_disable_timing cbx_2__4_/top_grid_bottom_width_0_height_0_subtile_4__pin_outpad_0_[0] +set_disable_timing cbx_2__4_/top_grid_bottom_width_0_height_0_subtile_5__pin_outpad_0_[0] +set_disable_timing cbx_2__4_/top_grid_bottom_width_0_height_0_subtile_6__pin_outpad_0_[0] +set_disable_timing cbx_2__4_/top_grid_bottom_width_0_height_0_subtile_7__pin_outpad_0_[0] +set_disable_timing cbx_2__4_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_[0] +set_disable_timing cbx_2__4_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_[0] +set_disable_timing cbx_2__4_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_[0] +set_disable_timing cbx_2__4_/mux_bottom_ipin_0/in[1] +set_disable_timing cbx_2__4_/mux_bottom_ipin_5/in[1] +set_disable_timing cbx_2__4_/mux_top_ipin_2/in[1] +set_disable_timing cbx_2__4_/mux_bottom_ipin_0/in[0] +set_disable_timing cbx_2__4_/mux_bottom_ipin_5/in[0] +set_disable_timing cbx_2__4_/mux_top_ipin_2/in[0] +set_disable_timing cbx_2__4_/mux_bottom_ipin_1/in[1] +set_disable_timing cbx_2__4_/mux_bottom_ipin_6/in[1] +set_disable_timing cbx_2__4_/mux_bottom_ipin_1/in[0] +set_disable_timing cbx_2__4_/mux_bottom_ipin_6/in[0] +set_disable_timing cbx_2__4_/mux_bottom_ipin_2/in[1] +set_disable_timing cbx_2__4_/mux_bottom_ipin_7/in[1] +set_disable_timing cbx_2__4_/mux_bottom_ipin_2/in[0] +set_disable_timing cbx_2__4_/mux_bottom_ipin_7/in[0] +set_disable_timing cbx_2__4_/mux_bottom_ipin_3/in[1] +set_disable_timing cbx_2__4_/mux_top_ipin_0/in[1] +set_disable_timing cbx_2__4_/mux_bottom_ipin_3/in[0] +set_disable_timing cbx_2__4_/mux_top_ipin_0/in[0] +set_disable_timing cbx_2__4_/mux_bottom_ipin_4/in[1] +set_disable_timing cbx_2__4_/mux_top_ipin_1/in[1] +set_disable_timing cbx_2__4_/mux_bottom_ipin_4/in[0] +set_disable_timing cbx_2__4_/mux_top_ipin_1/in[0] +set_disable_timing cbx_2__4_/mux_bottom_ipin_0/in[3] +set_disable_timing cbx_2__4_/mux_bottom_ipin_5/in[3] +set_disable_timing cbx_2__4_/mux_bottom_ipin_0/in[2] +set_disable_timing cbx_2__4_/mux_bottom_ipin_5/in[2] +set_disable_timing cbx_2__4_/mux_bottom_ipin_1/in[3] +set_disable_timing cbx_2__4_/mux_bottom_ipin_6/in[3] +set_disable_timing cbx_2__4_/mux_bottom_ipin_1/in[2] +set_disable_timing cbx_2__4_/mux_bottom_ipin_6/in[2] +set_disable_timing cbx_2__4_/mux_bottom_ipin_2/in[3] +set_disable_timing cbx_2__4_/mux_bottom_ipin_7/in[3] +set_disable_timing cbx_2__4_/mux_bottom_ipin_2/in[2] +set_disable_timing cbx_2__4_/mux_bottom_ipin_7/in[2] +set_disable_timing cbx_2__4_/mux_bottom_ipin_3/in[3] +set_disable_timing cbx_2__4_/mux_top_ipin_0/in[3] +set_disable_timing cbx_2__4_/mux_bottom_ipin_3/in[2] +set_disable_timing cbx_2__4_/mux_top_ipin_0/in[2] +set_disable_timing cbx_2__4_/mux_bottom_ipin_4/in[3] +set_disable_timing cbx_2__4_/mux_top_ipin_1/in[3] +set_disable_timing cbx_2__4_/mux_bottom_ipin_4/in[2] +set_disable_timing cbx_2__4_/mux_top_ipin_1/in[2] +################################################## +# Disable timing for Connection block cbx_1__0_ +################################################## +set_disable_timing cbx_3__0_/chanx_left_in[0] +set_disable_timing cbx_3__0_/chanx_right_in[0] +set_disable_timing cbx_3__0_/chanx_left_in[1] +set_disable_timing cbx_3__0_/chanx_right_in[1] +set_disable_timing cbx_3__0_/chanx_left_in[2] +set_disable_timing cbx_3__0_/chanx_right_in[2] +set_disable_timing cbx_3__0_/chanx_left_in[3] +set_disable_timing cbx_3__0_/chanx_left_in[4] +set_disable_timing cbx_3__0_/chanx_right_in[4] +set_disable_timing cbx_3__0_/chanx_left_in[5] +set_disable_timing cbx_3__0_/chanx_right_in[5] +set_disable_timing cbx_3__0_/chanx_left_in[6] +set_disable_timing cbx_3__0_/chanx_right_in[6] +set_disable_timing cbx_3__0_/chanx_left_in[7] +set_disable_timing cbx_3__0_/chanx_right_in[7] +set_disable_timing cbx_3__0_/chanx_left_in[8] +set_disable_timing cbx_3__0_/chanx_right_in[8] +set_disable_timing cbx_3__0_/chanx_left_in[9] +set_disable_timing cbx_3__0_/chanx_left_out[0] +set_disable_timing cbx_3__0_/chanx_right_out[0] +set_disable_timing cbx_3__0_/chanx_left_out[1] +set_disable_timing cbx_3__0_/chanx_right_out[1] +set_disable_timing cbx_3__0_/chanx_left_out[2] +set_disable_timing cbx_3__0_/chanx_right_out[2] +set_disable_timing cbx_3__0_/chanx_left_out[3] +set_disable_timing cbx_3__0_/chanx_left_out[4] +set_disable_timing cbx_3__0_/chanx_right_out[4] +set_disable_timing cbx_3__0_/chanx_left_out[5] +set_disable_timing cbx_3__0_/chanx_right_out[5] +set_disable_timing cbx_3__0_/chanx_left_out[6] +set_disable_timing cbx_3__0_/chanx_right_out[6] +set_disable_timing cbx_3__0_/chanx_left_out[7] +set_disable_timing cbx_3__0_/chanx_right_out[7] +set_disable_timing cbx_3__0_/chanx_left_out[8] +set_disable_timing cbx_3__0_/chanx_right_out[8] +set_disable_timing cbx_3__0_/chanx_left_out[9] +set_disable_timing cbx_3__0_/top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_[0] +set_disable_timing cbx_3__0_/top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_[0] +set_disable_timing cbx_3__0_/top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_[0] +set_disable_timing cbx_3__0_/bottom_grid_top_width_0_height_0_subtile_0__pin_outpad_0_[0] +set_disable_timing cbx_3__0_/bottom_grid_top_width_0_height_0_subtile_1__pin_outpad_0_[0] +set_disable_timing cbx_3__0_/bottom_grid_top_width_0_height_0_subtile_2__pin_outpad_0_[0] +set_disable_timing cbx_3__0_/bottom_grid_top_width_0_height_0_subtile_3__pin_outpad_0_[0] +set_disable_timing cbx_3__0_/bottom_grid_top_width_0_height_0_subtile_4__pin_outpad_0_[0] +set_disable_timing cbx_3__0_/bottom_grid_top_width_0_height_0_subtile_5__pin_outpad_0_[0] +set_disable_timing cbx_3__0_/bottom_grid_top_width_0_height_0_subtile_6__pin_outpad_0_[0] +set_disable_timing cbx_3__0_/bottom_grid_top_width_0_height_0_subtile_7__pin_outpad_0_[0] +set_disable_timing cbx_3__0_/mux_bottom_ipin_0/in[1] +set_disable_timing cbx_3__0_/mux_top_ipin_2/in[1] +set_disable_timing cbx_3__0_/mux_top_ipin_7/in[1] +set_disable_timing cbx_3__0_/mux_bottom_ipin_0/in[0] +set_disable_timing cbx_3__0_/mux_top_ipin_2/in[0] +set_disable_timing cbx_3__0_/mux_top_ipin_7/in[0] +set_disable_timing cbx_3__0_/mux_bottom_ipin_1/in[1] +set_disable_timing cbx_3__0_/mux_top_ipin_3/in[1] +set_disable_timing cbx_3__0_/mux_bottom_ipin_1/in[0] +set_disable_timing cbx_3__0_/mux_top_ipin_3/in[0] +set_disable_timing cbx_3__0_/mux_bottom_ipin_2/in[1] +set_disable_timing cbx_3__0_/mux_top_ipin_4/in[1] +set_disable_timing cbx_3__0_/mux_bottom_ipin_2/in[0] +set_disable_timing cbx_3__0_/mux_top_ipin_4/in[0] +set_disable_timing cbx_3__0_/mux_top_ipin_0/in[1] +set_disable_timing cbx_3__0_/mux_top_ipin_5/in[1] +set_disable_timing cbx_3__0_/mux_top_ipin_0/in[0] +set_disable_timing cbx_3__0_/mux_top_ipin_5/in[0] +set_disable_timing cbx_3__0_/mux_top_ipin_1/in[1] +set_disable_timing cbx_3__0_/mux_top_ipin_6/in[1] +set_disable_timing cbx_3__0_/mux_top_ipin_1/in[0] +set_disable_timing cbx_3__0_/mux_top_ipin_6/in[0] +set_disable_timing cbx_3__0_/mux_bottom_ipin_0/in[3] +set_disable_timing cbx_3__0_/mux_top_ipin_2/in[3] +set_disable_timing cbx_3__0_/mux_top_ipin_7/in[3] +set_disable_timing cbx_3__0_/mux_bottom_ipin_0/in[2] +set_disable_timing cbx_3__0_/mux_top_ipin_2/in[2] +set_disable_timing cbx_3__0_/mux_top_ipin_7/in[2] +set_disable_timing cbx_3__0_/mux_top_ipin_3/in[3] +set_disable_timing cbx_3__0_/mux_top_ipin_3/in[2] +set_disable_timing cbx_3__0_/mux_bottom_ipin_2/in[3] +set_disable_timing cbx_3__0_/mux_top_ipin_4/in[3] +set_disable_timing cbx_3__0_/mux_bottom_ipin_2/in[2] +set_disable_timing cbx_3__0_/mux_top_ipin_4/in[2] +set_disable_timing cbx_3__0_/mux_top_ipin_0/in[3] +set_disable_timing cbx_3__0_/mux_top_ipin_5/in[3] +set_disable_timing cbx_3__0_/mux_top_ipin_0/in[2] +set_disable_timing cbx_3__0_/mux_top_ipin_5/in[2] +set_disable_timing cbx_3__0_/mux_top_ipin_1/in[3] +set_disable_timing cbx_3__0_/mux_top_ipin_6/in[3] +set_disable_timing cbx_3__0_/mux_top_ipin_1/in[2] +set_disable_timing cbx_3__0_/mux_top_ipin_6/in[2] +################################################## +# Disable timing for Connection block cbx_1__1_ +################################################## +set_disable_timing cbx_3__1_/chanx_left_in[0] +set_disable_timing cbx_3__1_/chanx_right_in[0] +set_disable_timing cbx_3__1_/chanx_left_in[1] +set_disable_timing cbx_3__1_/chanx_right_in[1] +set_disable_timing cbx_3__1_/chanx_left_in[2] +set_disable_timing cbx_3__1_/chanx_right_in[2] +set_disable_timing cbx_3__1_/chanx_left_in[3] +set_disable_timing cbx_3__1_/chanx_right_in[3] +set_disable_timing cbx_3__1_/chanx_left_in[4] +set_disable_timing cbx_3__1_/chanx_right_in[4] +set_disable_timing cbx_3__1_/chanx_left_in[5] +set_disable_timing cbx_3__1_/chanx_right_in[5] +set_disable_timing cbx_3__1_/chanx_left_in[6] +set_disable_timing cbx_3__1_/chanx_right_in[6] +set_disable_timing cbx_3__1_/chanx_left_in[7] +set_disable_timing cbx_3__1_/chanx_right_in[7] +set_disable_timing cbx_3__1_/chanx_left_in[8] +set_disable_timing cbx_3__1_/chanx_right_in[8] +set_disable_timing cbx_3__1_/chanx_left_in[9] +set_disable_timing cbx_3__1_/chanx_right_in[9] +set_disable_timing cbx_3__1_/chanx_left_out[0] +set_disable_timing cbx_3__1_/chanx_right_out[0] +set_disable_timing cbx_3__1_/chanx_left_out[1] +set_disable_timing cbx_3__1_/chanx_right_out[1] +set_disable_timing cbx_3__1_/chanx_left_out[2] +set_disable_timing cbx_3__1_/chanx_right_out[2] +set_disable_timing cbx_3__1_/chanx_left_out[3] +set_disable_timing cbx_3__1_/chanx_right_out[3] +set_disable_timing cbx_3__1_/chanx_left_out[4] +set_disable_timing cbx_3__1_/chanx_right_out[4] +set_disable_timing cbx_3__1_/chanx_left_out[5] +set_disable_timing cbx_3__1_/chanx_right_out[5] +set_disable_timing cbx_3__1_/chanx_left_out[6] +set_disable_timing cbx_3__1_/chanx_right_out[6] +set_disable_timing cbx_3__1_/chanx_left_out[7] +set_disable_timing cbx_3__1_/chanx_right_out[7] +set_disable_timing cbx_3__1_/chanx_left_out[8] +set_disable_timing cbx_3__1_/chanx_right_out[8] +set_disable_timing cbx_3__1_/chanx_left_out[9] +set_disable_timing cbx_3__1_/chanx_right_out[9] +set_disable_timing cbx_3__1_/top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_[0] +set_disable_timing cbx_3__1_/top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_[0] +set_disable_timing cbx_3__1_/top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_[0] +set_disable_timing cbx_3__1_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_[0] +set_disable_timing cbx_3__1_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_[0] +set_disable_timing cbx_3__1_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_[0] +set_disable_timing cbx_3__1_/mux_bottom_ipin_0/in[1] +set_disable_timing cbx_3__1_/mux_bottom_ipin_0/in[0] +set_disable_timing cbx_3__1_/mux_bottom_ipin_1/in[1] +set_disable_timing cbx_3__1_/mux_bottom_ipin_1/in[0] +set_disable_timing cbx_3__1_/mux_bottom_ipin_2/in[1] +set_disable_timing cbx_3__1_/mux_bottom_ipin_2/in[0] +set_disable_timing cbx_3__1_/mux_top_ipin_0/in[1] +set_disable_timing cbx_3__1_/mux_top_ipin_0/in[0] +set_disable_timing cbx_3__1_/mux_top_ipin_1/in[1] +set_disable_timing cbx_3__1_/mux_top_ipin_1/in[0] +set_disable_timing cbx_3__1_/mux_bottom_ipin_0/in[3] +set_disable_timing cbx_3__1_/mux_top_ipin_2/in[1] +set_disable_timing cbx_3__1_/mux_bottom_ipin_0/in[2] +set_disable_timing cbx_3__1_/mux_top_ipin_2/in[0] +set_disable_timing cbx_3__1_/mux_bottom_ipin_2/in[3] +set_disable_timing cbx_3__1_/mux_bottom_ipin_2/in[2] +set_disable_timing cbx_3__1_/mux_top_ipin_0/in[3] +set_disable_timing cbx_3__1_/mux_top_ipin_0/in[2] +set_disable_timing cbx_3__1_/mux_top_ipin_1/in[3] +set_disable_timing cbx_3__1_/mux_top_ipin_1/in[2] +################################################## +# Disable timing for Connection block cbx_1__1_ +################################################## +set_disable_timing cbx_3__2_/chanx_left_in[0] +set_disable_timing cbx_3__2_/chanx_right_in[0] +set_disable_timing cbx_3__2_/chanx_left_in[1] +set_disable_timing cbx_3__2_/chanx_right_in[1] +set_disable_timing cbx_3__2_/chanx_left_in[2] +set_disable_timing cbx_3__2_/chanx_right_in[2] +set_disable_timing cbx_3__2_/chanx_left_in[3] +set_disable_timing cbx_3__2_/chanx_right_in[3] +set_disable_timing cbx_3__2_/chanx_left_in[4] +set_disable_timing cbx_3__2_/chanx_right_in[4] +set_disable_timing cbx_3__2_/chanx_left_in[5] +set_disable_timing cbx_3__2_/chanx_right_in[5] +set_disable_timing cbx_3__2_/chanx_left_in[6] +set_disable_timing cbx_3__2_/chanx_right_in[6] +set_disable_timing cbx_3__2_/chanx_left_in[7] +set_disable_timing cbx_3__2_/chanx_right_in[7] +set_disable_timing cbx_3__2_/chanx_left_in[8] +set_disable_timing cbx_3__2_/chanx_right_in[8] +set_disable_timing cbx_3__2_/chanx_left_in[9] +set_disable_timing cbx_3__2_/chanx_right_in[9] +set_disable_timing cbx_3__2_/chanx_left_out[0] +set_disable_timing cbx_3__2_/chanx_right_out[0] +set_disable_timing cbx_3__2_/chanx_left_out[1] +set_disable_timing cbx_3__2_/chanx_right_out[1] +set_disable_timing cbx_3__2_/chanx_left_out[2] +set_disable_timing cbx_3__2_/chanx_right_out[2] +set_disable_timing cbx_3__2_/chanx_left_out[3] +set_disable_timing cbx_3__2_/chanx_right_out[3] +set_disable_timing cbx_3__2_/chanx_left_out[4] +set_disable_timing cbx_3__2_/chanx_right_out[4] +set_disable_timing cbx_3__2_/chanx_left_out[5] +set_disable_timing cbx_3__2_/chanx_right_out[5] +set_disable_timing cbx_3__2_/chanx_left_out[6] +set_disable_timing cbx_3__2_/chanx_right_out[6] +set_disable_timing cbx_3__2_/chanx_left_out[7] +set_disable_timing cbx_3__2_/chanx_right_out[7] +set_disable_timing cbx_3__2_/chanx_left_out[8] +set_disable_timing cbx_3__2_/chanx_right_out[8] +set_disable_timing cbx_3__2_/chanx_left_out[9] +set_disable_timing cbx_3__2_/chanx_right_out[9] +set_disable_timing cbx_3__2_/top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_[0] +set_disable_timing cbx_3__2_/top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_[0] +set_disable_timing cbx_3__2_/top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_[0] +set_disable_timing cbx_3__2_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_[0] +set_disable_timing cbx_3__2_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_[0] +set_disable_timing cbx_3__2_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_[0] +set_disable_timing cbx_3__2_/mux_bottom_ipin_0/in[1] +set_disable_timing cbx_3__2_/mux_bottom_ipin_0/in[0] +set_disable_timing cbx_3__2_/mux_bottom_ipin_1/in[1] +set_disable_timing cbx_3__2_/mux_bottom_ipin_1/in[0] +set_disable_timing cbx_3__2_/mux_bottom_ipin_2/in[1] +set_disable_timing cbx_3__2_/mux_bottom_ipin_2/in[0] +set_disable_timing cbx_3__2_/mux_top_ipin_0/in[1] +set_disable_timing cbx_3__2_/mux_top_ipin_0/in[0] +set_disable_timing cbx_3__2_/mux_top_ipin_1/in[1] +set_disable_timing cbx_3__2_/mux_top_ipin_1/in[0] +set_disable_timing cbx_3__2_/mux_bottom_ipin_0/in[3] +set_disable_timing cbx_3__2_/mux_top_ipin_2/in[1] +set_disable_timing cbx_3__2_/mux_bottom_ipin_0/in[2] +set_disable_timing cbx_3__2_/mux_top_ipin_2/in[0] +set_disable_timing cbx_3__2_/mux_bottom_ipin_2/in[3] +set_disable_timing cbx_3__2_/mux_bottom_ipin_2/in[2] +set_disable_timing cbx_3__2_/mux_top_ipin_0/in[3] +set_disable_timing cbx_3__2_/mux_top_ipin_0/in[2] +set_disable_timing cbx_3__2_/mux_top_ipin_1/in[3] +set_disable_timing cbx_3__2_/mux_top_ipin_1/in[2] +################################################## +# Disable timing for Connection block cbx_1__1_ +################################################## +set_disable_timing cbx_3__3_/chanx_left_in[0] +set_disable_timing cbx_3__3_/chanx_right_in[0] +set_disable_timing cbx_3__3_/chanx_left_in[1] +set_disable_timing cbx_3__3_/chanx_right_in[1] +set_disable_timing cbx_3__3_/chanx_left_in[2] +set_disable_timing cbx_3__3_/chanx_right_in[2] +set_disable_timing cbx_3__3_/chanx_left_in[3] +set_disable_timing cbx_3__3_/chanx_right_in[3] +set_disable_timing cbx_3__3_/chanx_left_in[4] +set_disable_timing cbx_3__3_/chanx_right_in[4] +set_disable_timing cbx_3__3_/chanx_left_in[5] +set_disable_timing cbx_3__3_/chanx_right_in[5] +set_disable_timing cbx_3__3_/chanx_left_in[6] +set_disable_timing cbx_3__3_/chanx_right_in[6] +set_disable_timing cbx_3__3_/chanx_left_in[7] +set_disable_timing cbx_3__3_/chanx_right_in[7] +set_disable_timing cbx_3__3_/chanx_left_in[8] +set_disable_timing cbx_3__3_/chanx_right_in[8] +set_disable_timing cbx_3__3_/chanx_left_in[9] +set_disable_timing cbx_3__3_/chanx_right_in[9] +set_disable_timing cbx_3__3_/chanx_left_out[0] +set_disable_timing cbx_3__3_/chanx_right_out[0] +set_disable_timing cbx_3__3_/chanx_left_out[1] +set_disable_timing cbx_3__3_/chanx_right_out[1] +set_disable_timing cbx_3__3_/chanx_left_out[2] +set_disable_timing cbx_3__3_/chanx_right_out[2] +set_disable_timing cbx_3__3_/chanx_left_out[3] +set_disable_timing cbx_3__3_/chanx_right_out[3] +set_disable_timing cbx_3__3_/chanx_left_out[4] +set_disable_timing cbx_3__3_/chanx_right_out[4] +set_disable_timing cbx_3__3_/chanx_left_out[5] +set_disable_timing cbx_3__3_/chanx_right_out[5] +set_disable_timing cbx_3__3_/chanx_left_out[6] +set_disable_timing cbx_3__3_/chanx_right_out[6] +set_disable_timing cbx_3__3_/chanx_left_out[7] +set_disable_timing cbx_3__3_/chanx_right_out[7] +set_disable_timing cbx_3__3_/chanx_left_out[8] +set_disable_timing cbx_3__3_/chanx_right_out[8] +set_disable_timing cbx_3__3_/chanx_left_out[9] +set_disable_timing cbx_3__3_/chanx_right_out[9] +set_disable_timing cbx_3__3_/top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_[0] +set_disable_timing cbx_3__3_/top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_[0] +set_disable_timing cbx_3__3_/top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_[0] +set_disable_timing cbx_3__3_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_[0] +set_disable_timing cbx_3__3_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_[0] +set_disable_timing cbx_3__3_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_[0] +set_disable_timing cbx_3__3_/mux_bottom_ipin_0/in[1] +set_disable_timing cbx_3__3_/mux_bottom_ipin_0/in[0] +set_disable_timing cbx_3__3_/mux_bottom_ipin_1/in[1] +set_disable_timing cbx_3__3_/mux_bottom_ipin_1/in[0] +set_disable_timing cbx_3__3_/mux_bottom_ipin_2/in[1] +set_disable_timing cbx_3__3_/mux_bottom_ipin_2/in[0] +set_disable_timing cbx_3__3_/mux_top_ipin_0/in[1] +set_disable_timing cbx_3__3_/mux_top_ipin_0/in[0] +set_disable_timing cbx_3__3_/mux_top_ipin_1/in[1] +set_disable_timing cbx_3__3_/mux_top_ipin_1/in[0] +set_disable_timing cbx_3__3_/mux_bottom_ipin_0/in[3] +set_disable_timing cbx_3__3_/mux_top_ipin_2/in[1] +set_disable_timing cbx_3__3_/mux_bottom_ipin_0/in[2] +set_disable_timing cbx_3__3_/mux_top_ipin_2/in[0] +set_disable_timing cbx_3__3_/mux_bottom_ipin_2/in[3] +set_disable_timing cbx_3__3_/mux_bottom_ipin_2/in[2] +set_disable_timing cbx_3__3_/mux_top_ipin_0/in[3] +set_disable_timing cbx_3__3_/mux_top_ipin_0/in[2] +set_disable_timing cbx_3__3_/mux_top_ipin_1/in[3] +set_disable_timing cbx_3__3_/mux_top_ipin_1/in[2] +################################################## +# Disable timing for Connection block cbx_1__4_ +################################################## +set_disable_timing cbx_3__4_/chanx_left_in[0] +set_disable_timing cbx_3__4_/chanx_right_in[0] +set_disable_timing cbx_3__4_/chanx_left_in[1] +set_disable_timing cbx_3__4_/chanx_right_in[1] +set_disable_timing cbx_3__4_/chanx_left_in[2] +set_disable_timing cbx_3__4_/chanx_right_in[2] +set_disable_timing cbx_3__4_/chanx_left_in[3] +set_disable_timing cbx_3__4_/chanx_right_in[3] +set_disable_timing cbx_3__4_/chanx_left_in[4] +set_disable_timing cbx_3__4_/chanx_right_in[4] +set_disable_timing cbx_3__4_/chanx_left_in[5] +set_disable_timing cbx_3__4_/chanx_right_in[5] +set_disable_timing cbx_3__4_/chanx_left_in[6] +set_disable_timing cbx_3__4_/chanx_right_in[6] +set_disable_timing cbx_3__4_/chanx_left_in[7] +set_disable_timing cbx_3__4_/chanx_right_in[7] +set_disable_timing cbx_3__4_/chanx_left_in[8] +set_disable_timing cbx_3__4_/chanx_right_in[8] +set_disable_timing cbx_3__4_/chanx_left_in[9] +set_disable_timing cbx_3__4_/chanx_right_in[9] +set_disable_timing cbx_3__4_/chanx_left_out[0] +set_disable_timing cbx_3__4_/chanx_right_out[0] +set_disable_timing cbx_3__4_/chanx_left_out[1] +set_disable_timing cbx_3__4_/chanx_right_out[1] +set_disable_timing cbx_3__4_/chanx_left_out[2] +set_disable_timing cbx_3__4_/chanx_right_out[2] +set_disable_timing cbx_3__4_/chanx_left_out[3] +set_disable_timing cbx_3__4_/chanx_right_out[3] +set_disable_timing cbx_3__4_/chanx_left_out[4] +set_disable_timing cbx_3__4_/chanx_right_out[4] +set_disable_timing cbx_3__4_/chanx_left_out[5] +set_disable_timing cbx_3__4_/chanx_right_out[5] +set_disable_timing cbx_3__4_/chanx_left_out[6] +set_disable_timing cbx_3__4_/chanx_right_out[6] +set_disable_timing cbx_3__4_/chanx_left_out[7] +set_disable_timing cbx_3__4_/chanx_right_out[7] +set_disable_timing cbx_3__4_/chanx_left_out[8] +set_disable_timing cbx_3__4_/chanx_right_out[8] +set_disable_timing cbx_3__4_/chanx_left_out[9] +set_disable_timing cbx_3__4_/chanx_right_out[9] +set_disable_timing cbx_3__4_/top_grid_bottom_width_0_height_0_subtile_0__pin_outpad_0_[0] +set_disable_timing cbx_3__4_/top_grid_bottom_width_0_height_0_subtile_1__pin_outpad_0_[0] +set_disable_timing cbx_3__4_/top_grid_bottom_width_0_height_0_subtile_2__pin_outpad_0_[0] +set_disable_timing cbx_3__4_/top_grid_bottom_width_0_height_0_subtile_3__pin_outpad_0_[0] +set_disable_timing cbx_3__4_/top_grid_bottom_width_0_height_0_subtile_4__pin_outpad_0_[0] +set_disable_timing cbx_3__4_/top_grid_bottom_width_0_height_0_subtile_5__pin_outpad_0_[0] +set_disable_timing cbx_3__4_/top_grid_bottom_width_0_height_0_subtile_6__pin_outpad_0_[0] +set_disable_timing cbx_3__4_/top_grid_bottom_width_0_height_0_subtile_7__pin_outpad_0_[0] +set_disable_timing cbx_3__4_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_[0] +set_disable_timing cbx_3__4_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_[0] +set_disable_timing cbx_3__4_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_[0] +set_disable_timing cbx_3__4_/mux_bottom_ipin_0/in[1] +set_disable_timing cbx_3__4_/mux_bottom_ipin_5/in[1] +set_disable_timing cbx_3__4_/mux_top_ipin_2/in[1] +set_disable_timing cbx_3__4_/mux_bottom_ipin_0/in[0] +set_disable_timing cbx_3__4_/mux_bottom_ipin_5/in[0] +set_disable_timing cbx_3__4_/mux_top_ipin_2/in[0] +set_disable_timing cbx_3__4_/mux_bottom_ipin_1/in[1] +set_disable_timing cbx_3__4_/mux_bottom_ipin_6/in[1] +set_disable_timing cbx_3__4_/mux_bottom_ipin_1/in[0] +set_disable_timing cbx_3__4_/mux_bottom_ipin_6/in[0] +set_disable_timing cbx_3__4_/mux_bottom_ipin_2/in[1] +set_disable_timing cbx_3__4_/mux_bottom_ipin_7/in[1] +set_disable_timing cbx_3__4_/mux_bottom_ipin_2/in[0] +set_disable_timing cbx_3__4_/mux_bottom_ipin_7/in[0] +set_disable_timing cbx_3__4_/mux_bottom_ipin_3/in[1] +set_disable_timing cbx_3__4_/mux_top_ipin_0/in[1] +set_disable_timing cbx_3__4_/mux_bottom_ipin_3/in[0] +set_disable_timing cbx_3__4_/mux_top_ipin_0/in[0] +set_disable_timing cbx_3__4_/mux_bottom_ipin_4/in[1] +set_disable_timing cbx_3__4_/mux_top_ipin_1/in[1] +set_disable_timing cbx_3__4_/mux_bottom_ipin_4/in[0] +set_disable_timing cbx_3__4_/mux_top_ipin_1/in[0] +set_disable_timing cbx_3__4_/mux_bottom_ipin_0/in[3] +set_disable_timing cbx_3__4_/mux_bottom_ipin_5/in[3] +set_disable_timing cbx_3__4_/mux_bottom_ipin_0/in[2] +set_disable_timing cbx_3__4_/mux_bottom_ipin_5/in[2] +set_disable_timing cbx_3__4_/mux_bottom_ipin_1/in[3] +set_disable_timing cbx_3__4_/mux_bottom_ipin_6/in[3] +set_disable_timing cbx_3__4_/mux_bottom_ipin_1/in[2] +set_disable_timing cbx_3__4_/mux_bottom_ipin_6/in[2] +set_disable_timing cbx_3__4_/mux_bottom_ipin_2/in[3] +set_disable_timing cbx_3__4_/mux_bottom_ipin_7/in[3] +set_disable_timing cbx_3__4_/mux_bottom_ipin_2/in[2] +set_disable_timing cbx_3__4_/mux_bottom_ipin_7/in[2] +set_disable_timing cbx_3__4_/mux_bottom_ipin_3/in[3] +set_disable_timing cbx_3__4_/mux_top_ipin_0/in[3] +set_disable_timing cbx_3__4_/mux_bottom_ipin_3/in[2] +set_disable_timing cbx_3__4_/mux_top_ipin_0/in[2] +set_disable_timing cbx_3__4_/mux_bottom_ipin_4/in[3] +set_disable_timing cbx_3__4_/mux_top_ipin_1/in[3] +set_disable_timing cbx_3__4_/mux_bottom_ipin_4/in[2] +set_disable_timing cbx_3__4_/mux_top_ipin_1/in[2] +################################################## +# Disable timing for Connection block cbx_1__0_ +################################################## +set_disable_timing cbx_4__0_/chanx_left_in[0] +set_disable_timing cbx_4__0_/chanx_right_in[0] +set_disable_timing cbx_4__0_/chanx_left_in[1] +set_disable_timing cbx_4__0_/chanx_right_in[1] +set_disable_timing cbx_4__0_/chanx_left_in[2] +set_disable_timing cbx_4__0_/chanx_left_in[3] +set_disable_timing cbx_4__0_/chanx_right_in[3] +set_disable_timing cbx_4__0_/chanx_left_in[4] +set_disable_timing cbx_4__0_/chanx_right_in[4] +set_disable_timing cbx_4__0_/chanx_left_in[5] +set_disable_timing cbx_4__0_/chanx_right_in[5] +set_disable_timing cbx_4__0_/chanx_left_in[6] +set_disable_timing cbx_4__0_/chanx_right_in[6] +set_disable_timing cbx_4__0_/chanx_left_in[7] +set_disable_timing cbx_4__0_/chanx_right_in[7] +set_disable_timing cbx_4__0_/chanx_left_in[8] +set_disable_timing cbx_4__0_/chanx_left_in[9] +set_disable_timing cbx_4__0_/chanx_right_in[9] +set_disable_timing cbx_4__0_/chanx_left_out[0] +set_disable_timing cbx_4__0_/chanx_right_out[0] +set_disable_timing cbx_4__0_/chanx_left_out[1] +set_disable_timing cbx_4__0_/chanx_right_out[1] +set_disable_timing cbx_4__0_/chanx_left_out[2] +set_disable_timing cbx_4__0_/chanx_left_out[3] +set_disable_timing cbx_4__0_/chanx_right_out[3] +set_disable_timing cbx_4__0_/chanx_left_out[4] +set_disable_timing cbx_4__0_/chanx_right_out[4] +set_disable_timing cbx_4__0_/chanx_left_out[5] +set_disable_timing cbx_4__0_/chanx_right_out[5] +set_disable_timing cbx_4__0_/chanx_left_out[6] +set_disable_timing cbx_4__0_/chanx_right_out[6] +set_disable_timing cbx_4__0_/chanx_left_out[7] +set_disable_timing cbx_4__0_/chanx_right_out[7] +set_disable_timing cbx_4__0_/chanx_left_out[8] +set_disable_timing cbx_4__0_/chanx_left_out[9] +set_disable_timing cbx_4__0_/chanx_right_out[9] +set_disable_timing cbx_4__0_/top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_[0] +set_disable_timing cbx_4__0_/top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_[0] +set_disable_timing cbx_4__0_/top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_[0] +set_disable_timing cbx_4__0_/bottom_grid_top_width_0_height_0_subtile_0__pin_outpad_0_[0] +set_disable_timing cbx_4__0_/bottom_grid_top_width_0_height_0_subtile_1__pin_outpad_0_[0] +set_disable_timing cbx_4__0_/bottom_grid_top_width_0_height_0_subtile_2__pin_outpad_0_[0] +set_disable_timing cbx_4__0_/bottom_grid_top_width_0_height_0_subtile_3__pin_outpad_0_[0] +set_disable_timing cbx_4__0_/bottom_grid_top_width_0_height_0_subtile_4__pin_outpad_0_[0] +set_disable_timing cbx_4__0_/bottom_grid_top_width_0_height_0_subtile_5__pin_outpad_0_[0] +set_disable_timing cbx_4__0_/bottom_grid_top_width_0_height_0_subtile_6__pin_outpad_0_[0] +set_disable_timing cbx_4__0_/bottom_grid_top_width_0_height_0_subtile_7__pin_outpad_0_[0] +set_disable_timing cbx_4__0_/mux_bottom_ipin_0/in[1] +set_disable_timing cbx_4__0_/mux_top_ipin_2/in[1] +set_disable_timing cbx_4__0_/mux_top_ipin_7/in[1] +set_disable_timing cbx_4__0_/mux_bottom_ipin_0/in[0] +set_disable_timing cbx_4__0_/mux_top_ipin_2/in[0] +set_disable_timing cbx_4__0_/mux_top_ipin_7/in[0] +set_disable_timing cbx_4__0_/mux_bottom_ipin_1/in[1] +set_disable_timing cbx_4__0_/mux_top_ipin_3/in[1] +set_disable_timing cbx_4__0_/mux_bottom_ipin_1/in[0] +set_disable_timing cbx_4__0_/mux_top_ipin_3/in[0] +set_disable_timing cbx_4__0_/mux_bottom_ipin_2/in[1] +set_disable_timing cbx_4__0_/mux_top_ipin_4/in[1] +set_disable_timing cbx_4__0_/mux_bottom_ipin_2/in[0] +set_disable_timing cbx_4__0_/mux_top_ipin_4/in[0] +set_disable_timing cbx_4__0_/mux_top_ipin_0/in[1] +set_disable_timing cbx_4__0_/mux_top_ipin_5/in[1] +set_disable_timing cbx_4__0_/mux_top_ipin_0/in[0] +set_disable_timing cbx_4__0_/mux_top_ipin_5/in[0] +set_disable_timing cbx_4__0_/mux_top_ipin_1/in[1] +set_disable_timing cbx_4__0_/mux_top_ipin_6/in[1] +set_disable_timing cbx_4__0_/mux_top_ipin_1/in[0] +set_disable_timing cbx_4__0_/mux_top_ipin_6/in[0] +set_disable_timing cbx_4__0_/mux_bottom_ipin_0/in[3] +set_disable_timing cbx_4__0_/mux_top_ipin_2/in[3] +set_disable_timing cbx_4__0_/mux_top_ipin_7/in[3] +set_disable_timing cbx_4__0_/mux_bottom_ipin_0/in[2] +set_disable_timing cbx_4__0_/mux_top_ipin_2/in[2] +set_disable_timing cbx_4__0_/mux_top_ipin_7/in[2] +set_disable_timing cbx_4__0_/mux_top_ipin_3/in[3] +set_disable_timing cbx_4__0_/mux_top_ipin_3/in[2] +set_disable_timing cbx_4__0_/mux_bottom_ipin_2/in[3] +set_disable_timing cbx_4__0_/mux_top_ipin_4/in[3] +set_disable_timing cbx_4__0_/mux_bottom_ipin_2/in[2] +set_disable_timing cbx_4__0_/mux_top_ipin_4/in[2] +set_disable_timing cbx_4__0_/mux_top_ipin_0/in[3] +set_disable_timing cbx_4__0_/mux_top_ipin_5/in[3] +set_disable_timing cbx_4__0_/mux_top_ipin_0/in[2] +set_disable_timing cbx_4__0_/mux_top_ipin_5/in[2] +set_disable_timing cbx_4__0_/mux_top_ipin_1/in[3] +set_disable_timing cbx_4__0_/mux_top_ipin_6/in[3] +set_disable_timing cbx_4__0_/mux_top_ipin_1/in[2] +set_disable_timing cbx_4__0_/mux_top_ipin_6/in[2] +################################################## +# Disable timing for Connection block cbx_1__1_ +################################################## +set_disable_timing cbx_4__1_/chanx_left_in[0] +set_disable_timing cbx_4__1_/chanx_right_in[0] +set_disable_timing cbx_4__1_/chanx_left_in[1] +set_disable_timing cbx_4__1_/chanx_right_in[1] +set_disable_timing cbx_4__1_/chanx_left_in[2] +set_disable_timing cbx_4__1_/chanx_right_in[2] +set_disable_timing cbx_4__1_/chanx_left_in[3] +set_disable_timing cbx_4__1_/chanx_right_in[3] +set_disable_timing cbx_4__1_/chanx_left_in[4] +set_disable_timing cbx_4__1_/chanx_right_in[4] +set_disable_timing cbx_4__1_/chanx_left_in[5] +set_disable_timing cbx_4__1_/chanx_right_in[5] +set_disable_timing cbx_4__1_/chanx_left_in[6] +set_disable_timing cbx_4__1_/chanx_right_in[6] +set_disable_timing cbx_4__1_/chanx_left_in[7] +set_disable_timing cbx_4__1_/chanx_right_in[7] +set_disable_timing cbx_4__1_/chanx_left_in[8] +set_disable_timing cbx_4__1_/chanx_right_in[8] +set_disable_timing cbx_4__1_/chanx_left_in[9] +set_disable_timing cbx_4__1_/chanx_right_in[9] +set_disable_timing cbx_4__1_/chanx_left_out[0] +set_disable_timing cbx_4__1_/chanx_right_out[0] +set_disable_timing cbx_4__1_/chanx_left_out[1] +set_disable_timing cbx_4__1_/chanx_right_out[1] +set_disable_timing cbx_4__1_/chanx_left_out[2] +set_disable_timing cbx_4__1_/chanx_right_out[2] +set_disable_timing cbx_4__1_/chanx_left_out[3] +set_disable_timing cbx_4__1_/chanx_right_out[3] +set_disable_timing cbx_4__1_/chanx_left_out[4] +set_disable_timing cbx_4__1_/chanx_right_out[4] +set_disable_timing cbx_4__1_/chanx_left_out[5] +set_disable_timing cbx_4__1_/chanx_right_out[5] +set_disable_timing cbx_4__1_/chanx_left_out[6] +set_disable_timing cbx_4__1_/chanx_right_out[6] +set_disable_timing cbx_4__1_/chanx_left_out[7] +set_disable_timing cbx_4__1_/chanx_right_out[7] +set_disable_timing cbx_4__1_/chanx_left_out[8] +set_disable_timing cbx_4__1_/chanx_right_out[8] +set_disable_timing cbx_4__1_/chanx_left_out[9] +set_disable_timing cbx_4__1_/chanx_right_out[9] +set_disable_timing cbx_4__1_/top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_[0] +set_disable_timing cbx_4__1_/top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_[0] +set_disable_timing cbx_4__1_/top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_[0] +set_disable_timing cbx_4__1_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_[0] +set_disable_timing cbx_4__1_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_[0] +set_disable_timing cbx_4__1_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_[0] +set_disable_timing cbx_4__1_/mux_bottom_ipin_0/in[1] +set_disable_timing cbx_4__1_/mux_bottom_ipin_0/in[0] +set_disable_timing cbx_4__1_/mux_bottom_ipin_1/in[1] +set_disable_timing cbx_4__1_/mux_bottom_ipin_1/in[0] +set_disable_timing cbx_4__1_/mux_bottom_ipin_2/in[1] +set_disable_timing cbx_4__1_/mux_bottom_ipin_2/in[0] +set_disable_timing cbx_4__1_/mux_top_ipin_0/in[1] +set_disable_timing cbx_4__1_/mux_top_ipin_0/in[0] +set_disable_timing cbx_4__1_/mux_top_ipin_1/in[1] +set_disable_timing cbx_4__1_/mux_top_ipin_1/in[0] +set_disable_timing cbx_4__1_/mux_bottom_ipin_0/in[3] +set_disable_timing cbx_4__1_/mux_top_ipin_2/in[1] +set_disable_timing cbx_4__1_/mux_bottom_ipin_0/in[2] +set_disable_timing cbx_4__1_/mux_top_ipin_2/in[0] +set_disable_timing cbx_4__1_/mux_bottom_ipin_2/in[3] +set_disable_timing cbx_4__1_/mux_bottom_ipin_2/in[2] +set_disable_timing cbx_4__1_/mux_top_ipin_0/in[3] +set_disable_timing cbx_4__1_/mux_top_ipin_0/in[2] +set_disable_timing cbx_4__1_/mux_top_ipin_1/in[3] +set_disable_timing cbx_4__1_/mux_top_ipin_1/in[2] +################################################## +# Disable timing for Connection block cbx_1__1_ +################################################## +set_disable_timing cbx_4__2_/chanx_left_in[0] +set_disable_timing cbx_4__2_/chanx_right_in[0] +set_disable_timing cbx_4__2_/chanx_left_in[1] +set_disable_timing cbx_4__2_/chanx_right_in[1] +set_disable_timing cbx_4__2_/chanx_left_in[2] +set_disable_timing cbx_4__2_/chanx_right_in[2] +set_disable_timing cbx_4__2_/chanx_left_in[3] +set_disable_timing cbx_4__2_/chanx_right_in[3] +set_disable_timing cbx_4__2_/chanx_left_in[4] +set_disable_timing cbx_4__2_/chanx_right_in[4] +set_disable_timing cbx_4__2_/chanx_left_in[5] +set_disable_timing cbx_4__2_/chanx_right_in[5] +set_disable_timing cbx_4__2_/chanx_left_in[6] +set_disable_timing cbx_4__2_/chanx_right_in[6] +set_disable_timing cbx_4__2_/chanx_left_in[7] +set_disable_timing cbx_4__2_/chanx_right_in[7] +set_disable_timing cbx_4__2_/chanx_left_in[8] +set_disable_timing cbx_4__2_/chanx_right_in[8] +set_disable_timing cbx_4__2_/chanx_left_in[9] +set_disable_timing cbx_4__2_/chanx_right_in[9] +set_disable_timing cbx_4__2_/chanx_left_out[0] +set_disable_timing cbx_4__2_/chanx_right_out[0] +set_disable_timing cbx_4__2_/chanx_left_out[1] +set_disable_timing cbx_4__2_/chanx_right_out[1] +set_disable_timing cbx_4__2_/chanx_left_out[2] +set_disable_timing cbx_4__2_/chanx_right_out[2] +set_disable_timing cbx_4__2_/chanx_left_out[3] +set_disable_timing cbx_4__2_/chanx_right_out[3] +set_disable_timing cbx_4__2_/chanx_left_out[4] +set_disable_timing cbx_4__2_/chanx_right_out[4] +set_disable_timing cbx_4__2_/chanx_left_out[5] +set_disable_timing cbx_4__2_/chanx_right_out[5] +set_disable_timing cbx_4__2_/chanx_left_out[6] +set_disable_timing cbx_4__2_/chanx_right_out[6] +set_disable_timing cbx_4__2_/chanx_left_out[7] +set_disable_timing cbx_4__2_/chanx_right_out[7] +set_disable_timing cbx_4__2_/chanx_left_out[8] +set_disable_timing cbx_4__2_/chanx_right_out[8] +set_disable_timing cbx_4__2_/chanx_left_out[9] +set_disable_timing cbx_4__2_/chanx_right_out[9] +set_disable_timing cbx_4__2_/top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_[0] +set_disable_timing cbx_4__2_/top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_[0] +set_disable_timing cbx_4__2_/top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_[0] +set_disable_timing cbx_4__2_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_[0] +set_disable_timing cbx_4__2_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_[0] +set_disable_timing cbx_4__2_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_[0] +set_disable_timing cbx_4__2_/mux_bottom_ipin_0/in[1] +set_disable_timing cbx_4__2_/mux_bottom_ipin_0/in[0] +set_disable_timing cbx_4__2_/mux_bottom_ipin_1/in[1] +set_disable_timing cbx_4__2_/mux_bottom_ipin_1/in[0] +set_disable_timing cbx_4__2_/mux_bottom_ipin_2/in[1] +set_disable_timing cbx_4__2_/mux_bottom_ipin_2/in[0] +set_disable_timing cbx_4__2_/mux_top_ipin_0/in[1] +set_disable_timing cbx_4__2_/mux_top_ipin_0/in[0] +set_disable_timing cbx_4__2_/mux_top_ipin_1/in[1] +set_disable_timing cbx_4__2_/mux_top_ipin_1/in[0] +set_disable_timing cbx_4__2_/mux_bottom_ipin_0/in[3] +set_disable_timing cbx_4__2_/mux_top_ipin_2/in[1] +set_disable_timing cbx_4__2_/mux_bottom_ipin_0/in[2] +set_disable_timing cbx_4__2_/mux_top_ipin_2/in[0] +set_disable_timing cbx_4__2_/mux_bottom_ipin_2/in[3] +set_disable_timing cbx_4__2_/mux_bottom_ipin_2/in[2] +set_disable_timing cbx_4__2_/mux_top_ipin_0/in[3] +set_disable_timing cbx_4__2_/mux_top_ipin_0/in[2] +set_disable_timing cbx_4__2_/mux_top_ipin_1/in[3] +set_disable_timing cbx_4__2_/mux_top_ipin_1/in[2] +################################################## +# Disable timing for Connection block cbx_1__1_ +################################################## +set_disable_timing cbx_4__3_/chanx_left_in[0] +set_disable_timing cbx_4__3_/chanx_right_in[0] +set_disable_timing cbx_4__3_/chanx_left_in[1] +set_disable_timing cbx_4__3_/chanx_right_in[1] +set_disable_timing cbx_4__3_/chanx_left_in[2] +set_disable_timing cbx_4__3_/chanx_right_in[2] +set_disable_timing cbx_4__3_/chanx_left_in[3] +set_disable_timing cbx_4__3_/chanx_right_in[3] +set_disable_timing cbx_4__3_/chanx_left_in[4] +set_disable_timing cbx_4__3_/chanx_right_in[4] +set_disable_timing cbx_4__3_/chanx_left_in[5] +set_disable_timing cbx_4__3_/chanx_right_in[5] +set_disable_timing cbx_4__3_/chanx_left_in[6] +set_disable_timing cbx_4__3_/chanx_right_in[6] +set_disable_timing cbx_4__3_/chanx_left_in[7] +set_disable_timing cbx_4__3_/chanx_right_in[7] +set_disable_timing cbx_4__3_/chanx_left_in[8] +set_disable_timing cbx_4__3_/chanx_right_in[8] +set_disable_timing cbx_4__3_/chanx_left_in[9] +set_disable_timing cbx_4__3_/chanx_right_in[9] +set_disable_timing cbx_4__3_/chanx_left_out[0] +set_disable_timing cbx_4__3_/chanx_right_out[0] +set_disable_timing cbx_4__3_/chanx_left_out[1] +set_disable_timing cbx_4__3_/chanx_right_out[1] +set_disable_timing cbx_4__3_/chanx_left_out[2] +set_disable_timing cbx_4__3_/chanx_right_out[2] +set_disable_timing cbx_4__3_/chanx_left_out[3] +set_disable_timing cbx_4__3_/chanx_right_out[3] +set_disable_timing cbx_4__3_/chanx_left_out[4] +set_disable_timing cbx_4__3_/chanx_right_out[4] +set_disable_timing cbx_4__3_/chanx_left_out[5] +set_disable_timing cbx_4__3_/chanx_right_out[5] +set_disable_timing cbx_4__3_/chanx_left_out[6] +set_disable_timing cbx_4__3_/chanx_right_out[6] +set_disable_timing cbx_4__3_/chanx_left_out[7] +set_disable_timing cbx_4__3_/chanx_right_out[7] +set_disable_timing cbx_4__3_/chanx_left_out[8] +set_disable_timing cbx_4__3_/chanx_right_out[8] +set_disable_timing cbx_4__3_/chanx_left_out[9] +set_disable_timing cbx_4__3_/chanx_right_out[9] +set_disable_timing cbx_4__3_/top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_[0] +set_disable_timing cbx_4__3_/top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_[0] +set_disable_timing cbx_4__3_/top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_[0] +set_disable_timing cbx_4__3_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_[0] +set_disable_timing cbx_4__3_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_[0] +set_disable_timing cbx_4__3_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_[0] +set_disable_timing cbx_4__3_/mux_bottom_ipin_0/in[1] +set_disable_timing cbx_4__3_/mux_bottom_ipin_0/in[0] +set_disable_timing cbx_4__3_/mux_bottom_ipin_1/in[1] +set_disable_timing cbx_4__3_/mux_bottom_ipin_1/in[0] +set_disable_timing cbx_4__3_/mux_bottom_ipin_2/in[1] +set_disable_timing cbx_4__3_/mux_bottom_ipin_2/in[0] +set_disable_timing cbx_4__3_/mux_top_ipin_0/in[1] +set_disable_timing cbx_4__3_/mux_top_ipin_0/in[0] +set_disable_timing cbx_4__3_/mux_top_ipin_1/in[1] +set_disable_timing cbx_4__3_/mux_top_ipin_1/in[0] +set_disable_timing cbx_4__3_/mux_bottom_ipin_0/in[3] +set_disable_timing cbx_4__3_/mux_top_ipin_2/in[1] +set_disable_timing cbx_4__3_/mux_bottom_ipin_0/in[2] +set_disable_timing cbx_4__3_/mux_top_ipin_2/in[0] +set_disable_timing cbx_4__3_/mux_bottom_ipin_2/in[3] +set_disable_timing cbx_4__3_/mux_bottom_ipin_2/in[2] +set_disable_timing cbx_4__3_/mux_top_ipin_0/in[3] +set_disable_timing cbx_4__3_/mux_top_ipin_0/in[2] +set_disable_timing cbx_4__3_/mux_top_ipin_1/in[3] +set_disable_timing cbx_4__3_/mux_top_ipin_1/in[2] +################################################## +# Disable timing for Connection block cbx_1__4_ +################################################## +set_disable_timing cbx_4__4_/chanx_left_in[0] +set_disable_timing cbx_4__4_/chanx_right_in[0] +set_disable_timing cbx_4__4_/chanx_left_in[1] +set_disable_timing cbx_4__4_/chanx_right_in[1] +set_disable_timing cbx_4__4_/chanx_left_in[2] +set_disable_timing cbx_4__4_/chanx_right_in[2] +set_disable_timing cbx_4__4_/chanx_left_in[3] +set_disable_timing cbx_4__4_/chanx_right_in[3] +set_disable_timing cbx_4__4_/chanx_left_in[4] +set_disable_timing cbx_4__4_/chanx_right_in[4] +set_disable_timing cbx_4__4_/chanx_left_in[5] +set_disable_timing cbx_4__4_/chanx_right_in[5] +set_disable_timing cbx_4__4_/chanx_left_in[6] +set_disable_timing cbx_4__4_/chanx_right_in[6] +set_disable_timing cbx_4__4_/chanx_left_in[7] +set_disable_timing cbx_4__4_/chanx_right_in[7] +set_disable_timing cbx_4__4_/chanx_left_in[8] +set_disable_timing cbx_4__4_/chanx_right_in[8] +set_disable_timing cbx_4__4_/chanx_left_in[9] +set_disable_timing cbx_4__4_/chanx_right_in[9] +set_disable_timing cbx_4__4_/chanx_left_out[0] +set_disable_timing cbx_4__4_/chanx_right_out[0] +set_disable_timing cbx_4__4_/chanx_left_out[1] +set_disable_timing cbx_4__4_/chanx_right_out[1] +set_disable_timing cbx_4__4_/chanx_left_out[2] +set_disable_timing cbx_4__4_/chanx_right_out[2] +set_disable_timing cbx_4__4_/chanx_left_out[3] +set_disable_timing cbx_4__4_/chanx_right_out[3] +set_disable_timing cbx_4__4_/chanx_left_out[4] +set_disable_timing cbx_4__4_/chanx_right_out[4] +set_disable_timing cbx_4__4_/chanx_left_out[5] +set_disable_timing cbx_4__4_/chanx_right_out[5] +set_disable_timing cbx_4__4_/chanx_left_out[6] +set_disable_timing cbx_4__4_/chanx_right_out[6] +set_disable_timing cbx_4__4_/chanx_left_out[7] +set_disable_timing cbx_4__4_/chanx_right_out[7] +set_disable_timing cbx_4__4_/chanx_left_out[8] +set_disable_timing cbx_4__4_/chanx_right_out[8] +set_disable_timing cbx_4__4_/chanx_left_out[9] +set_disable_timing cbx_4__4_/chanx_right_out[9] +set_disable_timing cbx_4__4_/top_grid_bottom_width_0_height_0_subtile_0__pin_outpad_0_[0] +set_disable_timing cbx_4__4_/top_grid_bottom_width_0_height_0_subtile_1__pin_outpad_0_[0] +set_disable_timing cbx_4__4_/top_grid_bottom_width_0_height_0_subtile_2__pin_outpad_0_[0] +set_disable_timing cbx_4__4_/top_grid_bottom_width_0_height_0_subtile_3__pin_outpad_0_[0] +set_disable_timing cbx_4__4_/top_grid_bottom_width_0_height_0_subtile_4__pin_outpad_0_[0] +set_disable_timing cbx_4__4_/top_grid_bottom_width_0_height_0_subtile_5__pin_outpad_0_[0] +set_disable_timing cbx_4__4_/top_grid_bottom_width_0_height_0_subtile_6__pin_outpad_0_[0] +set_disable_timing cbx_4__4_/top_grid_bottom_width_0_height_0_subtile_7__pin_outpad_0_[0] +set_disable_timing cbx_4__4_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_[0] +set_disable_timing cbx_4__4_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_[0] +set_disable_timing cbx_4__4_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_[0] +set_disable_timing cbx_4__4_/mux_bottom_ipin_0/in[1] +set_disable_timing cbx_4__4_/mux_bottom_ipin_5/in[1] +set_disable_timing cbx_4__4_/mux_top_ipin_2/in[1] +set_disable_timing cbx_4__4_/mux_bottom_ipin_0/in[0] +set_disable_timing cbx_4__4_/mux_bottom_ipin_5/in[0] +set_disable_timing cbx_4__4_/mux_top_ipin_2/in[0] +set_disable_timing cbx_4__4_/mux_bottom_ipin_1/in[1] +set_disable_timing cbx_4__4_/mux_bottom_ipin_6/in[1] +set_disable_timing cbx_4__4_/mux_bottom_ipin_1/in[0] +set_disable_timing cbx_4__4_/mux_bottom_ipin_6/in[0] +set_disable_timing cbx_4__4_/mux_bottom_ipin_2/in[1] +set_disable_timing cbx_4__4_/mux_bottom_ipin_7/in[1] +set_disable_timing cbx_4__4_/mux_bottom_ipin_2/in[0] +set_disable_timing cbx_4__4_/mux_bottom_ipin_7/in[0] +set_disable_timing cbx_4__4_/mux_bottom_ipin_3/in[1] +set_disable_timing cbx_4__4_/mux_top_ipin_0/in[1] +set_disable_timing cbx_4__4_/mux_bottom_ipin_3/in[0] +set_disable_timing cbx_4__4_/mux_top_ipin_0/in[0] +set_disable_timing cbx_4__4_/mux_bottom_ipin_4/in[1] +set_disable_timing cbx_4__4_/mux_top_ipin_1/in[1] +set_disable_timing cbx_4__4_/mux_bottom_ipin_4/in[0] +set_disable_timing cbx_4__4_/mux_top_ipin_1/in[0] +set_disable_timing cbx_4__4_/mux_bottom_ipin_0/in[3] +set_disable_timing cbx_4__4_/mux_bottom_ipin_5/in[3] +set_disable_timing cbx_4__4_/mux_bottom_ipin_0/in[2] +set_disable_timing cbx_4__4_/mux_bottom_ipin_5/in[2] +set_disable_timing cbx_4__4_/mux_bottom_ipin_1/in[3] +set_disable_timing cbx_4__4_/mux_bottom_ipin_6/in[3] +set_disable_timing cbx_4__4_/mux_bottom_ipin_1/in[2] +set_disable_timing cbx_4__4_/mux_bottom_ipin_6/in[2] +set_disable_timing cbx_4__4_/mux_bottom_ipin_2/in[3] +set_disable_timing cbx_4__4_/mux_bottom_ipin_7/in[3] +set_disable_timing cbx_4__4_/mux_bottom_ipin_2/in[2] +set_disable_timing cbx_4__4_/mux_bottom_ipin_7/in[2] +set_disable_timing cbx_4__4_/mux_bottom_ipin_3/in[3] +set_disable_timing cbx_4__4_/mux_top_ipin_0/in[3] +set_disable_timing cbx_4__4_/mux_bottom_ipin_3/in[2] +set_disable_timing cbx_4__4_/mux_top_ipin_0/in[2] +set_disable_timing cbx_4__4_/mux_bottom_ipin_4/in[3] +set_disable_timing cbx_4__4_/mux_top_ipin_1/in[3] +set_disable_timing cbx_4__4_/mux_bottom_ipin_4/in[2] +set_disable_timing cbx_4__4_/mux_top_ipin_1/in[2] +################################################## +# Disable timing for Connection block cby_0__1_ +################################################## +set_disable_timing cby_0__1_/chany_bottom_in[0] +set_disable_timing cby_0__1_/chany_top_in[0] +set_disable_timing cby_0__1_/chany_bottom_in[1] +set_disable_timing cby_0__1_/chany_top_in[1] +set_disable_timing cby_0__1_/chany_bottom_in[2] +set_disable_timing cby_0__1_/chany_top_in[2] +set_disable_timing cby_0__1_/chany_bottom_in[3] +set_disable_timing cby_0__1_/chany_top_in[3] +set_disable_timing cby_0__1_/chany_bottom_in[4] +set_disable_timing cby_0__1_/chany_top_in[4] +set_disable_timing cby_0__1_/chany_bottom_in[5] +set_disable_timing cby_0__1_/chany_top_in[5] +set_disable_timing cby_0__1_/chany_bottom_in[6] +set_disable_timing cby_0__1_/chany_top_in[6] +set_disable_timing cby_0__1_/chany_bottom_in[7] +set_disable_timing cby_0__1_/chany_top_in[7] +set_disable_timing cby_0__1_/chany_bottom_in[8] +set_disable_timing cby_0__1_/chany_top_in[8] +set_disable_timing cby_0__1_/chany_bottom_in[9] +set_disable_timing cby_0__1_/chany_top_in[9] +set_disable_timing cby_0__1_/chany_bottom_out[0] +set_disable_timing cby_0__1_/chany_top_out[0] +set_disable_timing cby_0__1_/chany_bottom_out[1] +set_disable_timing cby_0__1_/chany_top_out[1] +set_disable_timing cby_0__1_/chany_bottom_out[2] +set_disable_timing cby_0__1_/chany_top_out[2] +set_disable_timing cby_0__1_/chany_bottom_out[3] +set_disable_timing cby_0__1_/chany_top_out[3] +set_disable_timing cby_0__1_/chany_bottom_out[4] +set_disable_timing cby_0__1_/chany_top_out[4] +set_disable_timing cby_0__1_/chany_bottom_out[5] +set_disable_timing cby_0__1_/chany_top_out[5] +set_disable_timing cby_0__1_/chany_bottom_out[6] +set_disable_timing cby_0__1_/chany_top_out[6] +set_disable_timing cby_0__1_/chany_bottom_out[7] +set_disable_timing cby_0__1_/chany_top_out[7] +set_disable_timing cby_0__1_/chany_bottom_out[8] +set_disable_timing cby_0__1_/chany_top_out[8] +set_disable_timing cby_0__1_/chany_bottom_out[9] +set_disable_timing cby_0__1_/chany_top_out[9] +set_disable_timing cby_0__1_/right_grid_left_width_0_height_0_subtile_0__pin_I_3_[0] +set_disable_timing cby_0__1_/right_grid_left_width_0_height_0_subtile_0__pin_I_7_[0] +set_disable_timing cby_0__1_/left_grid_right_width_0_height_0_subtile_0__pin_outpad_0_[0] +set_disable_timing cby_0__1_/left_grid_right_width_0_height_0_subtile_1__pin_outpad_0_[0] +set_disable_timing cby_0__1_/left_grid_right_width_0_height_0_subtile_2__pin_outpad_0_[0] +set_disable_timing cby_0__1_/left_grid_right_width_0_height_0_subtile_3__pin_outpad_0_[0] +set_disable_timing cby_0__1_/left_grid_right_width_0_height_0_subtile_4__pin_outpad_0_[0] +set_disable_timing cby_0__1_/left_grid_right_width_0_height_0_subtile_5__pin_outpad_0_[0] +set_disable_timing cby_0__1_/left_grid_right_width_0_height_0_subtile_6__pin_outpad_0_[0] +set_disable_timing cby_0__1_/left_grid_right_width_0_height_0_subtile_7__pin_outpad_0_[0] +set_disable_timing cby_0__1_/mux_left_ipin_0/in[1] +set_disable_timing cby_0__1_/mux_right_ipin_3/in[1] +set_disable_timing cby_0__1_/mux_left_ipin_0/in[0] +set_disable_timing cby_0__1_/mux_right_ipin_3/in[0] +set_disable_timing cby_0__1_/mux_left_ipin_1/in[1] +set_disable_timing cby_0__1_/mux_right_ipin_4/in[1] +set_disable_timing cby_0__1_/mux_left_ipin_1/in[0] +set_disable_timing cby_0__1_/mux_right_ipin_4/in[0] +set_disable_timing cby_0__1_/mux_right_ipin_0/in[1] +set_disable_timing cby_0__1_/mux_right_ipin_5/in[1] +set_disable_timing cby_0__1_/mux_right_ipin_0/in[0] +set_disable_timing cby_0__1_/mux_right_ipin_5/in[0] +set_disable_timing cby_0__1_/mux_right_ipin_1/in[1] +set_disable_timing cby_0__1_/mux_right_ipin_6/in[1] +set_disable_timing cby_0__1_/mux_right_ipin_1/in[0] +set_disable_timing cby_0__1_/mux_right_ipin_6/in[0] +set_disable_timing cby_0__1_/mux_right_ipin_2/in[1] +set_disable_timing cby_0__1_/mux_right_ipin_7/in[1] +set_disable_timing cby_0__1_/mux_right_ipin_2/in[0] +set_disable_timing cby_0__1_/mux_right_ipin_7/in[0] +set_disable_timing cby_0__1_/mux_left_ipin_0/in[3] +set_disable_timing cby_0__1_/mux_right_ipin_3/in[3] +set_disable_timing cby_0__1_/mux_left_ipin_0/in[2] +set_disable_timing cby_0__1_/mux_right_ipin_3/in[2] +set_disable_timing cby_0__1_/mux_right_ipin_4/in[3] +set_disable_timing cby_0__1_/mux_right_ipin_4/in[2] +set_disable_timing cby_0__1_/mux_right_ipin_0/in[3] +set_disable_timing cby_0__1_/mux_right_ipin_5/in[3] +set_disable_timing cby_0__1_/mux_right_ipin_0/in[2] +set_disable_timing cby_0__1_/mux_right_ipin_5/in[2] +set_disable_timing cby_0__1_/mux_right_ipin_1/in[3] +set_disable_timing cby_0__1_/mux_right_ipin_6/in[3] +set_disable_timing cby_0__1_/mux_right_ipin_1/in[2] +set_disable_timing cby_0__1_/mux_right_ipin_6/in[2] +set_disable_timing cby_0__1_/mux_right_ipin_2/in[3] +set_disable_timing cby_0__1_/mux_right_ipin_7/in[3] +set_disable_timing cby_0__1_/mux_right_ipin_2/in[2] +set_disable_timing cby_0__1_/mux_right_ipin_7/in[2] +################################################## +# Disable timing for Connection block cby_0__1_ +################################################## +set_disable_timing cby_0__2_/chany_bottom_in[0] +set_disable_timing cby_0__2_/chany_top_in[0] +set_disable_timing cby_0__2_/chany_bottom_in[1] +set_disable_timing cby_0__2_/chany_top_in[1] +set_disable_timing cby_0__2_/chany_bottom_in[2] +set_disable_timing cby_0__2_/chany_top_in[2] +set_disable_timing cby_0__2_/chany_bottom_in[3] +set_disable_timing cby_0__2_/chany_top_in[3] +set_disable_timing cby_0__2_/chany_bottom_in[4] +set_disable_timing cby_0__2_/chany_top_in[4] +set_disable_timing cby_0__2_/chany_bottom_in[5] +set_disable_timing cby_0__2_/chany_top_in[5] +set_disable_timing cby_0__2_/chany_bottom_in[6] +set_disable_timing cby_0__2_/chany_top_in[6] +set_disable_timing cby_0__2_/chany_bottom_in[7] +set_disable_timing cby_0__2_/chany_top_in[7] +set_disable_timing cby_0__2_/chany_bottom_in[8] +set_disable_timing cby_0__2_/chany_top_in[8] +set_disable_timing cby_0__2_/chany_bottom_in[9] +set_disable_timing cby_0__2_/chany_top_in[9] +set_disable_timing cby_0__2_/chany_bottom_out[0] +set_disable_timing cby_0__2_/chany_top_out[0] +set_disable_timing cby_0__2_/chany_bottom_out[1] +set_disable_timing cby_0__2_/chany_top_out[1] +set_disable_timing cby_0__2_/chany_bottom_out[2] +set_disable_timing cby_0__2_/chany_top_out[2] +set_disable_timing cby_0__2_/chany_bottom_out[3] +set_disable_timing cby_0__2_/chany_top_out[3] +set_disable_timing cby_0__2_/chany_bottom_out[4] +set_disable_timing cby_0__2_/chany_top_out[4] +set_disable_timing cby_0__2_/chany_bottom_out[5] +set_disable_timing cby_0__2_/chany_top_out[5] +set_disable_timing cby_0__2_/chany_bottom_out[6] +set_disable_timing cby_0__2_/chany_top_out[6] +set_disable_timing cby_0__2_/chany_bottom_out[7] +set_disable_timing cby_0__2_/chany_top_out[7] +set_disable_timing cby_0__2_/chany_bottom_out[8] +set_disable_timing cby_0__2_/chany_top_out[8] +set_disable_timing cby_0__2_/chany_bottom_out[9] +set_disable_timing cby_0__2_/chany_top_out[9] +set_disable_timing cby_0__2_/right_grid_left_width_0_height_0_subtile_0__pin_I_3_[0] +set_disable_timing cby_0__2_/right_grid_left_width_0_height_0_subtile_0__pin_I_7_[0] +set_disable_timing cby_0__2_/left_grid_right_width_0_height_0_subtile_0__pin_outpad_0_[0] +set_disable_timing cby_0__2_/left_grid_right_width_0_height_0_subtile_1__pin_outpad_0_[0] +set_disable_timing cby_0__2_/left_grid_right_width_0_height_0_subtile_2__pin_outpad_0_[0] +set_disable_timing cby_0__2_/left_grid_right_width_0_height_0_subtile_3__pin_outpad_0_[0] +set_disable_timing cby_0__2_/left_grid_right_width_0_height_0_subtile_4__pin_outpad_0_[0] +set_disable_timing cby_0__2_/left_grid_right_width_0_height_0_subtile_5__pin_outpad_0_[0] +set_disable_timing cby_0__2_/left_grid_right_width_0_height_0_subtile_6__pin_outpad_0_[0] +set_disable_timing cby_0__2_/left_grid_right_width_0_height_0_subtile_7__pin_outpad_0_[0] +set_disable_timing cby_0__2_/mux_left_ipin_0/in[1] +set_disable_timing cby_0__2_/mux_right_ipin_3/in[1] +set_disable_timing cby_0__2_/mux_left_ipin_0/in[0] +set_disable_timing cby_0__2_/mux_right_ipin_3/in[0] +set_disable_timing cby_0__2_/mux_left_ipin_1/in[1] +set_disable_timing cby_0__2_/mux_right_ipin_4/in[1] +set_disable_timing cby_0__2_/mux_left_ipin_1/in[0] +set_disable_timing cby_0__2_/mux_right_ipin_4/in[0] +set_disable_timing cby_0__2_/mux_right_ipin_0/in[1] +set_disable_timing cby_0__2_/mux_right_ipin_5/in[1] +set_disable_timing cby_0__2_/mux_right_ipin_0/in[0] +set_disable_timing cby_0__2_/mux_right_ipin_5/in[0] +set_disable_timing cby_0__2_/mux_right_ipin_1/in[1] +set_disable_timing cby_0__2_/mux_right_ipin_6/in[1] +set_disable_timing cby_0__2_/mux_right_ipin_1/in[0] +set_disable_timing cby_0__2_/mux_right_ipin_6/in[0] +set_disable_timing cby_0__2_/mux_right_ipin_2/in[1] +set_disable_timing cby_0__2_/mux_right_ipin_7/in[1] +set_disable_timing cby_0__2_/mux_right_ipin_2/in[0] +set_disable_timing cby_0__2_/mux_right_ipin_7/in[0] +set_disable_timing cby_0__2_/mux_left_ipin_0/in[3] +set_disable_timing cby_0__2_/mux_right_ipin_3/in[3] +set_disable_timing cby_0__2_/mux_left_ipin_0/in[2] +set_disable_timing cby_0__2_/mux_right_ipin_3/in[2] +set_disable_timing cby_0__2_/mux_right_ipin_4/in[3] +set_disable_timing cby_0__2_/mux_right_ipin_4/in[2] +set_disable_timing cby_0__2_/mux_right_ipin_0/in[3] +set_disable_timing cby_0__2_/mux_right_ipin_5/in[3] +set_disable_timing cby_0__2_/mux_right_ipin_0/in[2] +set_disable_timing cby_0__2_/mux_right_ipin_5/in[2] +set_disable_timing cby_0__2_/mux_right_ipin_1/in[3] +set_disable_timing cby_0__2_/mux_right_ipin_6/in[3] +set_disable_timing cby_0__2_/mux_right_ipin_1/in[2] +set_disable_timing cby_0__2_/mux_right_ipin_6/in[2] +set_disable_timing cby_0__2_/mux_right_ipin_2/in[3] +set_disable_timing cby_0__2_/mux_right_ipin_7/in[3] +set_disable_timing cby_0__2_/mux_right_ipin_2/in[2] +set_disable_timing cby_0__2_/mux_right_ipin_7/in[2] +################################################## +# Disable timing for Connection block cby_0__1_ +################################################## +set_disable_timing cby_0__3_/chany_bottom_in[0] +set_disable_timing cby_0__3_/chany_top_in[0] +set_disable_timing cby_0__3_/chany_bottom_in[1] +set_disable_timing cby_0__3_/chany_top_in[1] +set_disable_timing cby_0__3_/chany_bottom_in[2] +set_disable_timing cby_0__3_/chany_top_in[2] +set_disable_timing cby_0__3_/chany_bottom_in[3] +set_disable_timing cby_0__3_/chany_top_in[3] +set_disable_timing cby_0__3_/chany_bottom_in[4] +set_disable_timing cby_0__3_/chany_top_in[4] +set_disable_timing cby_0__3_/chany_bottom_in[5] +set_disable_timing cby_0__3_/chany_top_in[5] +set_disable_timing cby_0__3_/chany_bottom_in[6] +set_disable_timing cby_0__3_/chany_top_in[6] +set_disable_timing cby_0__3_/chany_bottom_in[7] +set_disable_timing cby_0__3_/chany_top_in[7] +set_disable_timing cby_0__3_/chany_bottom_in[8] +set_disable_timing cby_0__3_/chany_top_in[8] +set_disable_timing cby_0__3_/chany_bottom_in[9] +set_disable_timing cby_0__3_/chany_top_in[9] +set_disable_timing cby_0__3_/chany_bottom_out[0] +set_disable_timing cby_0__3_/chany_top_out[0] +set_disable_timing cby_0__3_/chany_bottom_out[1] +set_disable_timing cby_0__3_/chany_top_out[1] +set_disable_timing cby_0__3_/chany_bottom_out[2] +set_disable_timing cby_0__3_/chany_top_out[2] +set_disable_timing cby_0__3_/chany_bottom_out[3] +set_disable_timing cby_0__3_/chany_top_out[3] +set_disable_timing cby_0__3_/chany_bottom_out[4] +set_disable_timing cby_0__3_/chany_top_out[4] +set_disable_timing cby_0__3_/chany_bottom_out[5] +set_disable_timing cby_0__3_/chany_top_out[5] +set_disable_timing cby_0__3_/chany_bottom_out[6] +set_disable_timing cby_0__3_/chany_top_out[6] +set_disable_timing cby_0__3_/chany_bottom_out[7] +set_disable_timing cby_0__3_/chany_top_out[7] +set_disable_timing cby_0__3_/chany_bottom_out[8] +set_disable_timing cby_0__3_/chany_top_out[8] +set_disable_timing cby_0__3_/chany_bottom_out[9] +set_disable_timing cby_0__3_/chany_top_out[9] +set_disable_timing cby_0__3_/right_grid_left_width_0_height_0_subtile_0__pin_I_3_[0] +set_disable_timing cby_0__3_/right_grid_left_width_0_height_0_subtile_0__pin_I_7_[0] +set_disable_timing cby_0__3_/left_grid_right_width_0_height_0_subtile_0__pin_outpad_0_[0] +set_disable_timing cby_0__3_/left_grid_right_width_0_height_0_subtile_1__pin_outpad_0_[0] +set_disable_timing cby_0__3_/left_grid_right_width_0_height_0_subtile_2__pin_outpad_0_[0] +set_disable_timing cby_0__3_/left_grid_right_width_0_height_0_subtile_3__pin_outpad_0_[0] +set_disable_timing cby_0__3_/left_grid_right_width_0_height_0_subtile_4__pin_outpad_0_[0] +set_disable_timing cby_0__3_/left_grid_right_width_0_height_0_subtile_5__pin_outpad_0_[0] +set_disable_timing cby_0__3_/left_grid_right_width_0_height_0_subtile_6__pin_outpad_0_[0] +set_disable_timing cby_0__3_/left_grid_right_width_0_height_0_subtile_7__pin_outpad_0_[0] +set_disable_timing cby_0__3_/mux_left_ipin_0/in[1] +set_disable_timing cby_0__3_/mux_right_ipin_3/in[1] +set_disable_timing cby_0__3_/mux_left_ipin_0/in[0] +set_disable_timing cby_0__3_/mux_right_ipin_3/in[0] +set_disable_timing cby_0__3_/mux_left_ipin_1/in[1] +set_disable_timing cby_0__3_/mux_right_ipin_4/in[1] +set_disable_timing cby_0__3_/mux_left_ipin_1/in[0] +set_disable_timing cby_0__3_/mux_right_ipin_4/in[0] +set_disable_timing cby_0__3_/mux_right_ipin_0/in[1] +set_disable_timing cby_0__3_/mux_right_ipin_5/in[1] +set_disable_timing cby_0__3_/mux_right_ipin_0/in[0] +set_disable_timing cby_0__3_/mux_right_ipin_5/in[0] +set_disable_timing cby_0__3_/mux_right_ipin_1/in[1] +set_disable_timing cby_0__3_/mux_right_ipin_6/in[1] +set_disable_timing cby_0__3_/mux_right_ipin_1/in[0] +set_disable_timing cby_0__3_/mux_right_ipin_6/in[0] +set_disable_timing cby_0__3_/mux_right_ipin_2/in[1] +set_disable_timing cby_0__3_/mux_right_ipin_7/in[1] +set_disable_timing cby_0__3_/mux_right_ipin_2/in[0] +set_disable_timing cby_0__3_/mux_right_ipin_7/in[0] +set_disable_timing cby_0__3_/mux_left_ipin_0/in[3] +set_disable_timing cby_0__3_/mux_right_ipin_3/in[3] +set_disable_timing cby_0__3_/mux_left_ipin_0/in[2] +set_disable_timing cby_0__3_/mux_right_ipin_3/in[2] +set_disable_timing cby_0__3_/mux_right_ipin_4/in[3] +set_disable_timing cby_0__3_/mux_right_ipin_4/in[2] +set_disable_timing cby_0__3_/mux_right_ipin_0/in[3] +set_disable_timing cby_0__3_/mux_right_ipin_5/in[3] +set_disable_timing cby_0__3_/mux_right_ipin_0/in[2] +set_disable_timing cby_0__3_/mux_right_ipin_5/in[2] +set_disable_timing cby_0__3_/mux_right_ipin_1/in[3] +set_disable_timing cby_0__3_/mux_right_ipin_6/in[3] +set_disable_timing cby_0__3_/mux_right_ipin_1/in[2] +set_disable_timing cby_0__3_/mux_right_ipin_6/in[2] +set_disable_timing cby_0__3_/mux_right_ipin_2/in[3] +set_disable_timing cby_0__3_/mux_right_ipin_7/in[3] +set_disable_timing cby_0__3_/mux_right_ipin_2/in[2] +set_disable_timing cby_0__3_/mux_right_ipin_7/in[2] +################################################## +# Disable timing for Connection block cby_0__1_ +################################################## +set_disable_timing cby_0__4_/chany_bottom_in[0] +set_disable_timing cby_0__4_/chany_top_in[0] +set_disable_timing cby_0__4_/chany_bottom_in[1] +set_disable_timing cby_0__4_/chany_top_in[1] +set_disable_timing cby_0__4_/chany_bottom_in[2] +set_disable_timing cby_0__4_/chany_top_in[2] +set_disable_timing cby_0__4_/chany_bottom_in[3] +set_disable_timing cby_0__4_/chany_top_in[3] +set_disable_timing cby_0__4_/chany_bottom_in[4] +set_disable_timing cby_0__4_/chany_top_in[4] +set_disable_timing cby_0__4_/chany_bottom_in[5] +set_disable_timing cby_0__4_/chany_top_in[5] +set_disable_timing cby_0__4_/chany_bottom_in[6] +set_disable_timing cby_0__4_/chany_top_in[6] +set_disable_timing cby_0__4_/chany_bottom_in[7] +set_disable_timing cby_0__4_/chany_top_in[7] +set_disable_timing cby_0__4_/chany_bottom_in[8] +set_disable_timing cby_0__4_/chany_top_in[8] +set_disable_timing cby_0__4_/chany_bottom_in[9] +set_disable_timing cby_0__4_/chany_top_in[9] +set_disable_timing cby_0__4_/chany_bottom_out[0] +set_disable_timing cby_0__4_/chany_top_out[0] +set_disable_timing cby_0__4_/chany_bottom_out[1] +set_disable_timing cby_0__4_/chany_top_out[1] +set_disable_timing cby_0__4_/chany_bottom_out[2] +set_disable_timing cby_0__4_/chany_top_out[2] +set_disable_timing cby_0__4_/chany_bottom_out[3] +set_disable_timing cby_0__4_/chany_top_out[3] +set_disable_timing cby_0__4_/chany_bottom_out[4] +set_disable_timing cby_0__4_/chany_top_out[4] +set_disable_timing cby_0__4_/chany_bottom_out[5] +set_disable_timing cby_0__4_/chany_top_out[5] +set_disable_timing cby_0__4_/chany_bottom_out[6] +set_disable_timing cby_0__4_/chany_top_out[6] +set_disable_timing cby_0__4_/chany_bottom_out[7] +set_disable_timing cby_0__4_/chany_top_out[7] +set_disable_timing cby_0__4_/chany_bottom_out[8] +set_disable_timing cby_0__4_/chany_top_out[8] +set_disable_timing cby_0__4_/chany_bottom_out[9] +set_disable_timing cby_0__4_/chany_top_out[9] +set_disable_timing cby_0__4_/right_grid_left_width_0_height_0_subtile_0__pin_I_3_[0] +set_disable_timing cby_0__4_/right_grid_left_width_0_height_0_subtile_0__pin_I_7_[0] +set_disable_timing cby_0__4_/left_grid_right_width_0_height_0_subtile_0__pin_outpad_0_[0] +set_disable_timing cby_0__4_/left_grid_right_width_0_height_0_subtile_1__pin_outpad_0_[0] +set_disable_timing cby_0__4_/left_grid_right_width_0_height_0_subtile_2__pin_outpad_0_[0] +set_disable_timing cby_0__4_/left_grid_right_width_0_height_0_subtile_3__pin_outpad_0_[0] +set_disable_timing cby_0__4_/left_grid_right_width_0_height_0_subtile_4__pin_outpad_0_[0] +set_disable_timing cby_0__4_/left_grid_right_width_0_height_0_subtile_5__pin_outpad_0_[0] +set_disable_timing cby_0__4_/left_grid_right_width_0_height_0_subtile_6__pin_outpad_0_[0] +set_disable_timing cby_0__4_/left_grid_right_width_0_height_0_subtile_7__pin_outpad_0_[0] +set_disable_timing cby_0__4_/mux_left_ipin_0/in[1] +set_disable_timing cby_0__4_/mux_right_ipin_3/in[1] +set_disable_timing cby_0__4_/mux_left_ipin_0/in[0] +set_disable_timing cby_0__4_/mux_right_ipin_3/in[0] +set_disable_timing cby_0__4_/mux_left_ipin_1/in[1] +set_disable_timing cby_0__4_/mux_right_ipin_4/in[1] +set_disable_timing cby_0__4_/mux_left_ipin_1/in[0] +set_disable_timing cby_0__4_/mux_right_ipin_4/in[0] +set_disable_timing cby_0__4_/mux_right_ipin_0/in[1] +set_disable_timing cby_0__4_/mux_right_ipin_5/in[1] +set_disable_timing cby_0__4_/mux_right_ipin_0/in[0] +set_disable_timing cby_0__4_/mux_right_ipin_5/in[0] +set_disable_timing cby_0__4_/mux_right_ipin_1/in[1] +set_disable_timing cby_0__4_/mux_right_ipin_6/in[1] +set_disable_timing cby_0__4_/mux_right_ipin_1/in[0] +set_disable_timing cby_0__4_/mux_right_ipin_6/in[0] +set_disable_timing cby_0__4_/mux_right_ipin_2/in[1] +set_disable_timing cby_0__4_/mux_right_ipin_7/in[1] +set_disable_timing cby_0__4_/mux_right_ipin_2/in[0] +set_disable_timing cby_0__4_/mux_right_ipin_7/in[0] +set_disable_timing cby_0__4_/mux_left_ipin_0/in[3] +set_disable_timing cby_0__4_/mux_right_ipin_3/in[3] +set_disable_timing cby_0__4_/mux_left_ipin_0/in[2] +set_disable_timing cby_0__4_/mux_right_ipin_3/in[2] +set_disable_timing cby_0__4_/mux_right_ipin_4/in[3] +set_disable_timing cby_0__4_/mux_right_ipin_4/in[2] +set_disable_timing cby_0__4_/mux_right_ipin_0/in[3] +set_disable_timing cby_0__4_/mux_right_ipin_5/in[3] +set_disable_timing cby_0__4_/mux_right_ipin_0/in[2] +set_disable_timing cby_0__4_/mux_right_ipin_5/in[2] +set_disable_timing cby_0__4_/mux_right_ipin_1/in[3] +set_disable_timing cby_0__4_/mux_right_ipin_6/in[3] +set_disable_timing cby_0__4_/mux_right_ipin_1/in[2] +set_disable_timing cby_0__4_/mux_right_ipin_6/in[2] +set_disable_timing cby_0__4_/mux_right_ipin_2/in[3] +set_disable_timing cby_0__4_/mux_right_ipin_7/in[3] +set_disable_timing cby_0__4_/mux_right_ipin_2/in[2] +set_disable_timing cby_0__4_/mux_right_ipin_7/in[2] +################################################## +# Disable timing for Connection block cby_1__1_ +################################################## +set_disable_timing cby_1__1_/chany_bottom_in[0] +set_disable_timing cby_1__1_/chany_top_in[0] +set_disable_timing cby_1__1_/chany_bottom_in[1] +set_disable_timing cby_1__1_/chany_top_in[1] +set_disable_timing cby_1__1_/chany_bottom_in[2] +set_disable_timing cby_1__1_/chany_top_in[2] +set_disable_timing cby_1__1_/chany_bottom_in[3] +set_disable_timing cby_1__1_/chany_top_in[3] +set_disable_timing cby_1__1_/chany_bottom_in[4] +set_disable_timing cby_1__1_/chany_top_in[4] +set_disable_timing cby_1__1_/chany_bottom_in[5] +set_disable_timing cby_1__1_/chany_top_in[5] +set_disable_timing cby_1__1_/chany_bottom_in[6] +set_disable_timing cby_1__1_/chany_top_in[6] +set_disable_timing cby_1__1_/chany_bottom_in[7] +set_disable_timing cby_1__1_/chany_top_in[7] +set_disable_timing cby_1__1_/chany_bottom_in[8] +set_disable_timing cby_1__1_/chany_top_in[8] +set_disable_timing cby_1__1_/chany_bottom_in[9] +set_disable_timing cby_1__1_/chany_top_in[9] +set_disable_timing cby_1__1_/chany_bottom_out[0] +set_disable_timing cby_1__1_/chany_top_out[0] +set_disable_timing cby_1__1_/chany_bottom_out[1] +set_disable_timing cby_1__1_/chany_top_out[1] +set_disable_timing cby_1__1_/chany_bottom_out[2] +set_disable_timing cby_1__1_/chany_top_out[2] +set_disable_timing cby_1__1_/chany_bottom_out[3] +set_disable_timing cby_1__1_/chany_top_out[3] +set_disable_timing cby_1__1_/chany_bottom_out[4] +set_disable_timing cby_1__1_/chany_top_out[4] +set_disable_timing cby_1__1_/chany_bottom_out[5] +set_disable_timing cby_1__1_/chany_top_out[5] +set_disable_timing cby_1__1_/chany_bottom_out[6] +set_disable_timing cby_1__1_/chany_top_out[6] +set_disable_timing cby_1__1_/chany_bottom_out[7] +set_disable_timing cby_1__1_/chany_top_out[7] +set_disable_timing cby_1__1_/chany_bottom_out[8] +set_disable_timing cby_1__1_/chany_top_out[8] +set_disable_timing cby_1__1_/chany_bottom_out[9] +set_disable_timing cby_1__1_/chany_top_out[9] +set_disable_timing cby_1__1_/right_grid_left_width_0_height_0_subtile_0__pin_I_3_[0] +set_disable_timing cby_1__1_/right_grid_left_width_0_height_0_subtile_0__pin_I_7_[0] +set_disable_timing cby_1__1_/left_grid_right_width_0_height_0_subtile_0__pin_I_1_[0] +set_disable_timing cby_1__1_/left_grid_right_width_0_height_0_subtile_0__pin_I_5_[0] +set_disable_timing cby_1__1_/left_grid_right_width_0_height_0_subtile_0__pin_I_9_[0] +set_disable_timing cby_1__1_/mux_left_ipin_0/in[1] +set_disable_timing cby_1__1_/mux_left_ipin_0/in[0] +set_disable_timing cby_1__1_/mux_left_ipin_1/in[1] +set_disable_timing cby_1__1_/mux_left_ipin_1/in[0] +set_disable_timing cby_1__1_/mux_right_ipin_0/in[1] +set_disable_timing cby_1__1_/mux_right_ipin_0/in[0] +set_disable_timing cby_1__1_/mux_right_ipin_1/in[1] +set_disable_timing cby_1__1_/mux_right_ipin_1/in[0] +set_disable_timing cby_1__1_/mux_right_ipin_2/in[1] +set_disable_timing cby_1__1_/mux_right_ipin_2/in[0] +set_disable_timing cby_1__1_/mux_left_ipin_0/in[3] +set_disable_timing cby_1__1_/mux_left_ipin_0/in[2] +set_disable_timing cby_1__1_/mux_right_ipin_0/in[3] +set_disable_timing cby_1__1_/mux_right_ipin_0/in[2] +################################################## +# Disable timing for Connection block cby_1__1_ +################################################## +set_disable_timing cby_1__2_/chany_bottom_in[0] +set_disable_timing cby_1__2_/chany_top_in[0] +set_disable_timing cby_1__2_/chany_bottom_in[1] +set_disable_timing cby_1__2_/chany_top_in[1] +set_disable_timing cby_1__2_/chany_bottom_in[2] +set_disable_timing cby_1__2_/chany_top_in[2] +set_disable_timing cby_1__2_/chany_bottom_in[3] +set_disable_timing cby_1__2_/chany_top_in[3] +set_disable_timing cby_1__2_/chany_bottom_in[4] +set_disable_timing cby_1__2_/chany_top_in[4] +set_disable_timing cby_1__2_/chany_bottom_in[5] +set_disable_timing cby_1__2_/chany_top_in[5] +set_disable_timing cby_1__2_/chany_bottom_in[6] +set_disable_timing cby_1__2_/chany_top_in[6] +set_disable_timing cby_1__2_/chany_bottom_in[7] +set_disable_timing cby_1__2_/chany_top_in[7] +set_disable_timing cby_1__2_/chany_bottom_in[8] +set_disable_timing cby_1__2_/chany_top_in[8] +set_disable_timing cby_1__2_/chany_bottom_in[9] +set_disable_timing cby_1__2_/chany_top_in[9] +set_disable_timing cby_1__2_/chany_bottom_out[0] +set_disable_timing cby_1__2_/chany_top_out[0] +set_disable_timing cby_1__2_/chany_bottom_out[1] +set_disable_timing cby_1__2_/chany_top_out[1] +set_disable_timing cby_1__2_/chany_bottom_out[2] +set_disable_timing cby_1__2_/chany_top_out[2] +set_disable_timing cby_1__2_/chany_bottom_out[3] +set_disable_timing cby_1__2_/chany_top_out[3] +set_disable_timing cby_1__2_/chany_bottom_out[4] +set_disable_timing cby_1__2_/chany_top_out[4] +set_disable_timing cby_1__2_/chany_bottom_out[5] +set_disable_timing cby_1__2_/chany_top_out[5] +set_disable_timing cby_1__2_/chany_bottom_out[6] +set_disable_timing cby_1__2_/chany_top_out[6] +set_disable_timing cby_1__2_/chany_bottom_out[7] +set_disable_timing cby_1__2_/chany_top_out[7] +set_disable_timing cby_1__2_/chany_bottom_out[8] +set_disable_timing cby_1__2_/chany_top_out[8] +set_disable_timing cby_1__2_/chany_bottom_out[9] +set_disable_timing cby_1__2_/chany_top_out[9] +set_disable_timing cby_1__2_/right_grid_left_width_0_height_0_subtile_0__pin_I_3_[0] +set_disable_timing cby_1__2_/right_grid_left_width_0_height_0_subtile_0__pin_I_7_[0] +set_disable_timing cby_1__2_/left_grid_right_width_0_height_0_subtile_0__pin_I_1_[0] +set_disable_timing cby_1__2_/left_grid_right_width_0_height_0_subtile_0__pin_I_5_[0] +set_disable_timing cby_1__2_/left_grid_right_width_0_height_0_subtile_0__pin_I_9_[0] +set_disable_timing cby_1__2_/mux_left_ipin_0/in[1] +set_disable_timing cby_1__2_/mux_left_ipin_0/in[0] +set_disable_timing cby_1__2_/mux_left_ipin_1/in[1] +set_disable_timing cby_1__2_/mux_left_ipin_1/in[0] +set_disable_timing cby_1__2_/mux_right_ipin_0/in[1] +set_disable_timing cby_1__2_/mux_right_ipin_0/in[0] +set_disable_timing cby_1__2_/mux_right_ipin_1/in[1] +set_disable_timing cby_1__2_/mux_right_ipin_1/in[0] +set_disable_timing cby_1__2_/mux_right_ipin_2/in[1] +set_disable_timing cby_1__2_/mux_right_ipin_2/in[0] +set_disable_timing cby_1__2_/mux_left_ipin_0/in[3] +set_disable_timing cby_1__2_/mux_left_ipin_0/in[2] +set_disable_timing cby_1__2_/mux_right_ipin_0/in[3] +set_disable_timing cby_1__2_/mux_right_ipin_0/in[2] +################################################## +# Disable timing for Connection block cby_1__1_ +################################################## +set_disable_timing cby_1__3_/chany_bottom_in[0] +set_disable_timing cby_1__3_/chany_top_in[0] +set_disable_timing cby_1__3_/chany_bottom_in[1] +set_disable_timing cby_1__3_/chany_top_in[1] +set_disable_timing cby_1__3_/chany_bottom_in[2] +set_disable_timing cby_1__3_/chany_top_in[2] +set_disable_timing cby_1__3_/chany_bottom_in[3] +set_disable_timing cby_1__3_/chany_top_in[3] +set_disable_timing cby_1__3_/chany_bottom_in[4] +set_disable_timing cby_1__3_/chany_top_in[4] +set_disable_timing cby_1__3_/chany_bottom_in[5] +set_disable_timing cby_1__3_/chany_top_in[5] +set_disable_timing cby_1__3_/chany_bottom_in[6] +set_disable_timing cby_1__3_/chany_top_in[6] +set_disable_timing cby_1__3_/chany_bottom_in[7] +set_disable_timing cby_1__3_/chany_top_in[7] +set_disable_timing cby_1__3_/chany_bottom_in[8] +set_disable_timing cby_1__3_/chany_top_in[8] +set_disable_timing cby_1__3_/chany_bottom_in[9] +set_disable_timing cby_1__3_/chany_top_in[9] +set_disable_timing cby_1__3_/chany_bottom_out[0] +set_disable_timing cby_1__3_/chany_top_out[0] +set_disable_timing cby_1__3_/chany_bottom_out[1] +set_disable_timing cby_1__3_/chany_top_out[1] +set_disable_timing cby_1__3_/chany_bottom_out[2] +set_disable_timing cby_1__3_/chany_top_out[2] +set_disable_timing cby_1__3_/chany_bottom_out[3] +set_disable_timing cby_1__3_/chany_top_out[3] +set_disable_timing cby_1__3_/chany_bottom_out[4] +set_disable_timing cby_1__3_/chany_top_out[4] +set_disable_timing cby_1__3_/chany_bottom_out[5] +set_disable_timing cby_1__3_/chany_top_out[5] +set_disable_timing cby_1__3_/chany_bottom_out[6] +set_disable_timing cby_1__3_/chany_top_out[6] +set_disable_timing cby_1__3_/chany_bottom_out[7] +set_disable_timing cby_1__3_/chany_top_out[7] +set_disable_timing cby_1__3_/chany_bottom_out[8] +set_disable_timing cby_1__3_/chany_top_out[8] +set_disable_timing cby_1__3_/chany_bottom_out[9] +set_disable_timing cby_1__3_/chany_top_out[9] +set_disable_timing cby_1__3_/right_grid_left_width_0_height_0_subtile_0__pin_I_3_[0] +set_disable_timing cby_1__3_/right_grid_left_width_0_height_0_subtile_0__pin_I_7_[0] +set_disable_timing cby_1__3_/left_grid_right_width_0_height_0_subtile_0__pin_I_1_[0] +set_disable_timing cby_1__3_/left_grid_right_width_0_height_0_subtile_0__pin_I_5_[0] +set_disable_timing cby_1__3_/left_grid_right_width_0_height_0_subtile_0__pin_I_9_[0] +set_disable_timing cby_1__3_/mux_left_ipin_0/in[1] +set_disable_timing cby_1__3_/mux_left_ipin_0/in[0] +set_disable_timing cby_1__3_/mux_left_ipin_1/in[1] +set_disable_timing cby_1__3_/mux_left_ipin_1/in[0] +set_disable_timing cby_1__3_/mux_right_ipin_0/in[1] +set_disable_timing cby_1__3_/mux_right_ipin_0/in[0] +set_disable_timing cby_1__3_/mux_right_ipin_1/in[1] +set_disable_timing cby_1__3_/mux_right_ipin_1/in[0] +set_disable_timing cby_1__3_/mux_right_ipin_2/in[1] +set_disable_timing cby_1__3_/mux_right_ipin_2/in[0] +set_disable_timing cby_1__3_/mux_left_ipin_0/in[3] +set_disable_timing cby_1__3_/mux_left_ipin_0/in[2] +set_disable_timing cby_1__3_/mux_right_ipin_0/in[3] +set_disable_timing cby_1__3_/mux_right_ipin_0/in[2] +################################################## +# Disable timing for Connection block cby_1__1_ +################################################## +set_disable_timing cby_1__4_/chany_bottom_in[0] +set_disable_timing cby_1__4_/chany_top_in[0] +set_disable_timing cby_1__4_/chany_bottom_in[1] +set_disable_timing cby_1__4_/chany_top_in[1] +set_disable_timing cby_1__4_/chany_bottom_in[2] +set_disable_timing cby_1__4_/chany_top_in[2] +set_disable_timing cby_1__4_/chany_bottom_in[3] +set_disable_timing cby_1__4_/chany_top_in[3] +set_disable_timing cby_1__4_/chany_bottom_in[4] +set_disable_timing cby_1__4_/chany_top_in[4] +set_disable_timing cby_1__4_/chany_bottom_in[5] +set_disable_timing cby_1__4_/chany_top_in[5] +set_disable_timing cby_1__4_/chany_bottom_in[6] +set_disable_timing cby_1__4_/chany_top_in[6] +set_disable_timing cby_1__4_/chany_bottom_in[7] +set_disable_timing cby_1__4_/chany_top_in[7] +set_disable_timing cby_1__4_/chany_bottom_in[8] +set_disable_timing cby_1__4_/chany_top_in[8] +set_disable_timing cby_1__4_/chany_bottom_in[9] +set_disable_timing cby_1__4_/chany_top_in[9] +set_disable_timing cby_1__4_/chany_bottom_out[0] +set_disable_timing cby_1__4_/chany_top_out[0] +set_disable_timing cby_1__4_/chany_bottom_out[1] +set_disable_timing cby_1__4_/chany_top_out[1] +set_disable_timing cby_1__4_/chany_bottom_out[2] +set_disable_timing cby_1__4_/chany_top_out[2] +set_disable_timing cby_1__4_/chany_bottom_out[3] +set_disable_timing cby_1__4_/chany_top_out[3] +set_disable_timing cby_1__4_/chany_bottom_out[4] +set_disable_timing cby_1__4_/chany_top_out[4] +set_disable_timing cby_1__4_/chany_bottom_out[5] +set_disable_timing cby_1__4_/chany_top_out[5] +set_disable_timing cby_1__4_/chany_bottom_out[6] +set_disable_timing cby_1__4_/chany_top_out[6] +set_disable_timing cby_1__4_/chany_bottom_out[7] +set_disable_timing cby_1__4_/chany_top_out[7] +set_disable_timing cby_1__4_/chany_bottom_out[8] +set_disable_timing cby_1__4_/chany_top_out[8] +set_disable_timing cby_1__4_/chany_bottom_out[9] +set_disable_timing cby_1__4_/chany_top_out[9] +set_disable_timing cby_1__4_/right_grid_left_width_0_height_0_subtile_0__pin_I_3_[0] +set_disable_timing cby_1__4_/right_grid_left_width_0_height_0_subtile_0__pin_I_7_[0] +set_disable_timing cby_1__4_/left_grid_right_width_0_height_0_subtile_0__pin_I_1_[0] +set_disable_timing cby_1__4_/left_grid_right_width_0_height_0_subtile_0__pin_I_5_[0] +set_disable_timing cby_1__4_/left_grid_right_width_0_height_0_subtile_0__pin_I_9_[0] +set_disable_timing cby_1__4_/mux_left_ipin_0/in[1] +set_disable_timing cby_1__4_/mux_left_ipin_0/in[0] +set_disable_timing cby_1__4_/mux_left_ipin_1/in[1] +set_disable_timing cby_1__4_/mux_left_ipin_1/in[0] +set_disable_timing cby_1__4_/mux_right_ipin_0/in[1] +set_disable_timing cby_1__4_/mux_right_ipin_0/in[0] +set_disable_timing cby_1__4_/mux_right_ipin_1/in[1] +set_disable_timing cby_1__4_/mux_right_ipin_1/in[0] +set_disable_timing cby_1__4_/mux_right_ipin_2/in[1] +set_disable_timing cby_1__4_/mux_right_ipin_2/in[0] +set_disable_timing cby_1__4_/mux_left_ipin_0/in[3] +set_disable_timing cby_1__4_/mux_left_ipin_0/in[2] +set_disable_timing cby_1__4_/mux_right_ipin_0/in[3] +set_disable_timing cby_1__4_/mux_right_ipin_0/in[2] +################################################## +# Disable timing for Connection block cby_1__1_ +################################################## +set_disable_timing cby_2__1_/chany_bottom_in[0] +set_disable_timing cby_2__1_/chany_top_in[0] +set_disable_timing cby_2__1_/chany_bottom_in[1] +set_disable_timing cby_2__1_/chany_top_in[1] +set_disable_timing cby_2__1_/chany_bottom_in[2] +set_disable_timing cby_2__1_/chany_top_in[2] +set_disable_timing cby_2__1_/chany_bottom_in[3] +set_disable_timing cby_2__1_/chany_top_in[3] +set_disable_timing cby_2__1_/chany_bottom_in[4] +set_disable_timing cby_2__1_/chany_top_in[4] +set_disable_timing cby_2__1_/chany_bottom_in[5] +set_disable_timing cby_2__1_/chany_top_in[5] +set_disable_timing cby_2__1_/chany_bottom_in[6] +set_disable_timing cby_2__1_/chany_top_in[6] +set_disable_timing cby_2__1_/chany_bottom_in[7] +set_disable_timing cby_2__1_/chany_top_in[7] +set_disable_timing cby_2__1_/chany_bottom_in[8] +set_disable_timing cby_2__1_/chany_top_in[8] +set_disable_timing cby_2__1_/chany_bottom_in[9] +set_disable_timing cby_2__1_/chany_top_in[9] +set_disable_timing cby_2__1_/chany_bottom_out[0] +set_disable_timing cby_2__1_/chany_top_out[0] +set_disable_timing cby_2__1_/chany_bottom_out[1] +set_disable_timing cby_2__1_/chany_top_out[1] +set_disable_timing cby_2__1_/chany_bottom_out[2] +set_disable_timing cby_2__1_/chany_top_out[2] +set_disable_timing cby_2__1_/chany_bottom_out[3] +set_disable_timing cby_2__1_/chany_top_out[3] +set_disable_timing cby_2__1_/chany_bottom_out[4] +set_disable_timing cby_2__1_/chany_top_out[4] +set_disable_timing cby_2__1_/chany_bottom_out[5] +set_disable_timing cby_2__1_/chany_top_out[5] +set_disable_timing cby_2__1_/chany_bottom_out[6] +set_disable_timing cby_2__1_/chany_top_out[6] +set_disable_timing cby_2__1_/chany_bottom_out[7] +set_disable_timing cby_2__1_/chany_top_out[7] +set_disable_timing cby_2__1_/chany_bottom_out[8] +set_disable_timing cby_2__1_/chany_top_out[8] +set_disable_timing cby_2__1_/chany_bottom_out[9] +set_disable_timing cby_2__1_/chany_top_out[9] +set_disable_timing cby_2__1_/right_grid_left_width_0_height_0_subtile_0__pin_I_3_[0] +set_disable_timing cby_2__1_/right_grid_left_width_0_height_0_subtile_0__pin_I_7_[0] +set_disable_timing cby_2__1_/left_grid_right_width_0_height_0_subtile_0__pin_I_1_[0] +set_disable_timing cby_2__1_/left_grid_right_width_0_height_0_subtile_0__pin_I_5_[0] +set_disable_timing cby_2__1_/left_grid_right_width_0_height_0_subtile_0__pin_I_9_[0] +set_disable_timing cby_2__1_/mux_left_ipin_0/in[1] +set_disable_timing cby_2__1_/mux_left_ipin_0/in[0] +set_disable_timing cby_2__1_/mux_left_ipin_1/in[1] +set_disable_timing cby_2__1_/mux_left_ipin_1/in[0] +set_disable_timing cby_2__1_/mux_right_ipin_0/in[1] +set_disable_timing cby_2__1_/mux_right_ipin_0/in[0] +set_disable_timing cby_2__1_/mux_right_ipin_1/in[1] +set_disable_timing cby_2__1_/mux_right_ipin_1/in[0] +set_disable_timing cby_2__1_/mux_right_ipin_2/in[1] +set_disable_timing cby_2__1_/mux_right_ipin_2/in[0] +set_disable_timing cby_2__1_/mux_left_ipin_0/in[3] +set_disable_timing cby_2__1_/mux_left_ipin_0/in[2] +set_disable_timing cby_2__1_/mux_right_ipin_0/in[3] +set_disable_timing cby_2__1_/mux_right_ipin_0/in[2] +################################################## +# Disable timing for Connection block cby_1__1_ +################################################## +set_disable_timing cby_2__2_/chany_bottom_in[0] +set_disable_timing cby_2__2_/chany_top_in[0] +set_disable_timing cby_2__2_/chany_bottom_in[1] +set_disable_timing cby_2__2_/chany_top_in[1] +set_disable_timing cby_2__2_/chany_bottom_in[2] +set_disable_timing cby_2__2_/chany_top_in[2] +set_disable_timing cby_2__2_/chany_bottom_in[3] +set_disable_timing cby_2__2_/chany_top_in[3] +set_disable_timing cby_2__2_/chany_bottom_in[4] +set_disable_timing cby_2__2_/chany_top_in[4] +set_disable_timing cby_2__2_/chany_bottom_in[5] +set_disable_timing cby_2__2_/chany_top_in[5] +set_disable_timing cby_2__2_/chany_bottom_in[6] +set_disable_timing cby_2__2_/chany_top_in[6] +set_disable_timing cby_2__2_/chany_bottom_in[7] +set_disable_timing cby_2__2_/chany_top_in[7] +set_disable_timing cby_2__2_/chany_bottom_in[8] +set_disable_timing cby_2__2_/chany_top_in[8] +set_disable_timing cby_2__2_/chany_bottom_in[9] +set_disable_timing cby_2__2_/chany_top_in[9] +set_disable_timing cby_2__2_/chany_bottom_out[0] +set_disable_timing cby_2__2_/chany_top_out[0] +set_disable_timing cby_2__2_/chany_bottom_out[1] +set_disable_timing cby_2__2_/chany_top_out[1] +set_disable_timing cby_2__2_/chany_bottom_out[2] +set_disable_timing cby_2__2_/chany_top_out[2] +set_disable_timing cby_2__2_/chany_bottom_out[3] +set_disable_timing cby_2__2_/chany_top_out[3] +set_disable_timing cby_2__2_/chany_bottom_out[4] +set_disable_timing cby_2__2_/chany_top_out[4] +set_disable_timing cby_2__2_/chany_bottom_out[5] +set_disable_timing cby_2__2_/chany_top_out[5] +set_disable_timing cby_2__2_/chany_bottom_out[6] +set_disable_timing cby_2__2_/chany_top_out[6] +set_disable_timing cby_2__2_/chany_bottom_out[7] +set_disable_timing cby_2__2_/chany_top_out[7] +set_disable_timing cby_2__2_/chany_bottom_out[8] +set_disable_timing cby_2__2_/chany_top_out[8] +set_disable_timing cby_2__2_/chany_bottom_out[9] +set_disable_timing cby_2__2_/chany_top_out[9] +set_disable_timing cby_2__2_/right_grid_left_width_0_height_0_subtile_0__pin_I_3_[0] +set_disable_timing cby_2__2_/right_grid_left_width_0_height_0_subtile_0__pin_I_7_[0] +set_disable_timing cby_2__2_/left_grid_right_width_0_height_0_subtile_0__pin_I_1_[0] +set_disable_timing cby_2__2_/left_grid_right_width_0_height_0_subtile_0__pin_I_5_[0] +set_disable_timing cby_2__2_/left_grid_right_width_0_height_0_subtile_0__pin_I_9_[0] +set_disable_timing cby_2__2_/mux_left_ipin_0/in[1] +set_disable_timing cby_2__2_/mux_left_ipin_0/in[0] +set_disable_timing cby_2__2_/mux_left_ipin_1/in[1] +set_disable_timing cby_2__2_/mux_left_ipin_1/in[0] +set_disable_timing cby_2__2_/mux_right_ipin_0/in[1] +set_disable_timing cby_2__2_/mux_right_ipin_0/in[0] +set_disable_timing cby_2__2_/mux_right_ipin_1/in[1] +set_disable_timing cby_2__2_/mux_right_ipin_1/in[0] +set_disable_timing cby_2__2_/mux_right_ipin_2/in[1] +set_disable_timing cby_2__2_/mux_right_ipin_2/in[0] +set_disable_timing cby_2__2_/mux_left_ipin_0/in[3] +set_disable_timing cby_2__2_/mux_left_ipin_0/in[2] +set_disable_timing cby_2__2_/mux_right_ipin_0/in[3] +set_disable_timing cby_2__2_/mux_right_ipin_0/in[2] +################################################## +# Disable timing for Connection block cby_1__1_ +################################################## +set_disable_timing cby_2__3_/chany_bottom_in[0] +set_disable_timing cby_2__3_/chany_top_in[0] +set_disable_timing cby_2__3_/chany_bottom_in[1] +set_disable_timing cby_2__3_/chany_top_in[1] +set_disable_timing cby_2__3_/chany_bottom_in[2] +set_disable_timing cby_2__3_/chany_top_in[2] +set_disable_timing cby_2__3_/chany_bottom_in[3] +set_disable_timing cby_2__3_/chany_top_in[3] +set_disable_timing cby_2__3_/chany_bottom_in[4] +set_disable_timing cby_2__3_/chany_top_in[4] +set_disable_timing cby_2__3_/chany_bottom_in[5] +set_disable_timing cby_2__3_/chany_top_in[5] +set_disable_timing cby_2__3_/chany_bottom_in[6] +set_disable_timing cby_2__3_/chany_top_in[6] +set_disable_timing cby_2__3_/chany_bottom_in[7] +set_disable_timing cby_2__3_/chany_top_in[7] +set_disable_timing cby_2__3_/chany_bottom_in[8] +set_disable_timing cby_2__3_/chany_top_in[8] +set_disable_timing cby_2__3_/chany_bottom_in[9] +set_disable_timing cby_2__3_/chany_top_in[9] +set_disable_timing cby_2__3_/chany_bottom_out[0] +set_disable_timing cby_2__3_/chany_top_out[0] +set_disable_timing cby_2__3_/chany_bottom_out[1] +set_disable_timing cby_2__3_/chany_top_out[1] +set_disable_timing cby_2__3_/chany_bottom_out[2] +set_disable_timing cby_2__3_/chany_top_out[2] +set_disable_timing cby_2__3_/chany_bottom_out[3] +set_disable_timing cby_2__3_/chany_top_out[3] +set_disable_timing cby_2__3_/chany_bottom_out[4] +set_disable_timing cby_2__3_/chany_top_out[4] +set_disable_timing cby_2__3_/chany_bottom_out[5] +set_disable_timing cby_2__3_/chany_top_out[5] +set_disable_timing cby_2__3_/chany_bottom_out[6] +set_disable_timing cby_2__3_/chany_top_out[6] +set_disable_timing cby_2__3_/chany_bottom_out[7] +set_disable_timing cby_2__3_/chany_top_out[7] +set_disable_timing cby_2__3_/chany_bottom_out[8] +set_disable_timing cby_2__3_/chany_top_out[8] +set_disable_timing cby_2__3_/chany_bottom_out[9] +set_disable_timing cby_2__3_/chany_top_out[9] +set_disable_timing cby_2__3_/right_grid_left_width_0_height_0_subtile_0__pin_I_3_[0] +set_disable_timing cby_2__3_/right_grid_left_width_0_height_0_subtile_0__pin_I_7_[0] +set_disable_timing cby_2__3_/left_grid_right_width_0_height_0_subtile_0__pin_I_1_[0] +set_disable_timing cby_2__3_/left_grid_right_width_0_height_0_subtile_0__pin_I_5_[0] +set_disable_timing cby_2__3_/left_grid_right_width_0_height_0_subtile_0__pin_I_9_[0] +set_disable_timing cby_2__3_/mux_left_ipin_0/in[1] +set_disable_timing cby_2__3_/mux_left_ipin_0/in[0] +set_disable_timing cby_2__3_/mux_left_ipin_1/in[1] +set_disable_timing cby_2__3_/mux_left_ipin_1/in[0] +set_disable_timing cby_2__3_/mux_right_ipin_0/in[1] +set_disable_timing cby_2__3_/mux_right_ipin_0/in[0] +set_disable_timing cby_2__3_/mux_right_ipin_1/in[1] +set_disable_timing cby_2__3_/mux_right_ipin_1/in[0] +set_disable_timing cby_2__3_/mux_right_ipin_2/in[1] +set_disable_timing cby_2__3_/mux_right_ipin_2/in[0] +set_disable_timing cby_2__3_/mux_left_ipin_0/in[3] +set_disable_timing cby_2__3_/mux_left_ipin_0/in[2] +set_disable_timing cby_2__3_/mux_right_ipin_0/in[3] +set_disable_timing cby_2__3_/mux_right_ipin_0/in[2] +################################################## +# Disable timing for Connection block cby_1__1_ +################################################## +set_disable_timing cby_2__4_/chany_bottom_in[0] +set_disable_timing cby_2__4_/chany_top_in[0] +set_disable_timing cby_2__4_/chany_bottom_in[1] +set_disable_timing cby_2__4_/chany_top_in[1] +set_disable_timing cby_2__4_/chany_bottom_in[2] +set_disable_timing cby_2__4_/chany_top_in[2] +set_disable_timing cby_2__4_/chany_bottom_in[3] +set_disable_timing cby_2__4_/chany_top_in[3] +set_disable_timing cby_2__4_/chany_bottom_in[4] +set_disable_timing cby_2__4_/chany_top_in[4] +set_disable_timing cby_2__4_/chany_bottom_in[5] +set_disable_timing cby_2__4_/chany_top_in[5] +set_disable_timing cby_2__4_/chany_bottom_in[6] +set_disable_timing cby_2__4_/chany_top_in[6] +set_disable_timing cby_2__4_/chany_bottom_in[7] +set_disable_timing cby_2__4_/chany_top_in[7] +set_disable_timing cby_2__4_/chany_bottom_in[8] +set_disable_timing cby_2__4_/chany_top_in[8] +set_disable_timing cby_2__4_/chany_bottom_in[9] +set_disable_timing cby_2__4_/chany_top_in[9] +set_disable_timing cby_2__4_/chany_bottom_out[0] +set_disable_timing cby_2__4_/chany_top_out[0] +set_disable_timing cby_2__4_/chany_bottom_out[1] +set_disable_timing cby_2__4_/chany_top_out[1] +set_disable_timing cby_2__4_/chany_bottom_out[2] +set_disable_timing cby_2__4_/chany_top_out[2] +set_disable_timing cby_2__4_/chany_bottom_out[3] +set_disable_timing cby_2__4_/chany_top_out[3] +set_disable_timing cby_2__4_/chany_bottom_out[4] +set_disable_timing cby_2__4_/chany_top_out[4] +set_disable_timing cby_2__4_/chany_bottom_out[5] +set_disable_timing cby_2__4_/chany_top_out[5] +set_disable_timing cby_2__4_/chany_bottom_out[6] +set_disable_timing cby_2__4_/chany_top_out[6] +set_disable_timing cby_2__4_/chany_bottom_out[7] +set_disable_timing cby_2__4_/chany_top_out[7] +set_disable_timing cby_2__4_/chany_bottom_out[8] +set_disable_timing cby_2__4_/chany_top_out[8] +set_disable_timing cby_2__4_/chany_bottom_out[9] +set_disable_timing cby_2__4_/chany_top_out[9] +set_disable_timing cby_2__4_/right_grid_left_width_0_height_0_subtile_0__pin_I_3_[0] +set_disable_timing cby_2__4_/right_grid_left_width_0_height_0_subtile_0__pin_I_7_[0] +set_disable_timing cby_2__4_/left_grid_right_width_0_height_0_subtile_0__pin_I_1_[0] +set_disable_timing cby_2__4_/left_grid_right_width_0_height_0_subtile_0__pin_I_5_[0] +set_disable_timing cby_2__4_/left_grid_right_width_0_height_0_subtile_0__pin_I_9_[0] +set_disable_timing cby_2__4_/mux_left_ipin_0/in[1] +set_disable_timing cby_2__4_/mux_left_ipin_0/in[0] +set_disable_timing cby_2__4_/mux_left_ipin_1/in[1] +set_disable_timing cby_2__4_/mux_left_ipin_1/in[0] +set_disable_timing cby_2__4_/mux_right_ipin_0/in[1] +set_disable_timing cby_2__4_/mux_right_ipin_0/in[0] +set_disable_timing cby_2__4_/mux_right_ipin_1/in[1] +set_disable_timing cby_2__4_/mux_right_ipin_1/in[0] +set_disable_timing cby_2__4_/mux_right_ipin_2/in[1] +set_disable_timing cby_2__4_/mux_right_ipin_2/in[0] +set_disable_timing cby_2__4_/mux_left_ipin_0/in[3] +set_disable_timing cby_2__4_/mux_left_ipin_0/in[2] +set_disable_timing cby_2__4_/mux_right_ipin_0/in[3] +set_disable_timing cby_2__4_/mux_right_ipin_0/in[2] +################################################## +# Disable timing for Connection block cby_1__1_ +################################################## +set_disable_timing cby_3__1_/chany_bottom_in[0] +set_disable_timing cby_3__1_/chany_top_in[0] +set_disable_timing cby_3__1_/chany_top_in[1] +set_disable_timing cby_3__1_/chany_bottom_in[2] +set_disable_timing cby_3__1_/chany_top_in[2] +set_disable_timing cby_3__1_/chany_bottom_in[3] +set_disable_timing cby_3__1_/chany_top_in[3] +set_disable_timing cby_3__1_/chany_bottom_in[4] +set_disable_timing cby_3__1_/chany_top_in[4] +set_disable_timing cby_3__1_/chany_top_in[5] +set_disable_timing cby_3__1_/chany_bottom_in[6] +set_disable_timing cby_3__1_/chany_top_in[6] +set_disable_timing cby_3__1_/chany_bottom_in[7] +set_disable_timing cby_3__1_/chany_top_in[7] +set_disable_timing cby_3__1_/chany_bottom_in[8] +set_disable_timing cby_3__1_/chany_top_in[8] +set_disable_timing cby_3__1_/chany_bottom_in[9] +set_disable_timing cby_3__1_/chany_top_in[9] +set_disable_timing cby_3__1_/chany_bottom_out[0] +set_disable_timing cby_3__1_/chany_top_out[0] +set_disable_timing cby_3__1_/chany_top_out[1] +set_disable_timing cby_3__1_/chany_bottom_out[2] +set_disable_timing cby_3__1_/chany_top_out[2] +set_disable_timing cby_3__1_/chany_bottom_out[3] +set_disable_timing cby_3__1_/chany_top_out[3] +set_disable_timing cby_3__1_/chany_bottom_out[4] +set_disable_timing cby_3__1_/chany_top_out[4] +set_disable_timing cby_3__1_/chany_top_out[5] +set_disable_timing cby_3__1_/chany_bottom_out[6] +set_disable_timing cby_3__1_/chany_top_out[6] +set_disable_timing cby_3__1_/chany_bottom_out[7] +set_disable_timing cby_3__1_/chany_top_out[7] +set_disable_timing cby_3__1_/chany_bottom_out[8] +set_disable_timing cby_3__1_/chany_top_out[8] +set_disable_timing cby_3__1_/chany_bottom_out[9] +set_disable_timing cby_3__1_/chany_top_out[9] +set_disable_timing cby_3__1_/left_grid_right_width_0_height_0_subtile_0__pin_I_1_[0] +set_disable_timing cby_3__1_/left_grid_right_width_0_height_0_subtile_0__pin_I_5_[0] +set_disable_timing cby_3__1_/left_grid_right_width_0_height_0_subtile_0__pin_I_9_[0] +set_disable_timing cby_3__1_/mux_left_ipin_0/in[1] +set_disable_timing cby_3__1_/mux_left_ipin_0/in[0] +set_disable_timing cby_3__1_/mux_left_ipin_1/in[0] +set_disable_timing cby_3__1_/mux_right_ipin_0/in[1] +set_disable_timing cby_3__1_/mux_right_ipin_0/in[0] +set_disable_timing cby_3__1_/mux_right_ipin_1/in[1] +set_disable_timing cby_3__1_/mux_right_ipin_1/in[0] +set_disable_timing cby_3__1_/mux_right_ipin_2/in[1] +set_disable_timing cby_3__1_/mux_right_ipin_2/in[0] +set_disable_timing cby_3__1_/mux_left_ipin_0/in[2] +set_disable_timing cby_3__1_/mux_right_ipin_0/in[3] +set_disable_timing cby_3__1_/mux_right_ipin_0/in[2] +################################################## +# Disable timing for Connection block cby_1__1_ +################################################## +set_disable_timing cby_3__2_/chany_bottom_in[0] +set_disable_timing cby_3__2_/chany_top_in[0] +set_disable_timing cby_3__2_/chany_bottom_in[1] +set_disable_timing cby_3__2_/chany_top_in[1] +set_disable_timing cby_3__2_/chany_top_in[2] +set_disable_timing cby_3__2_/chany_bottom_in[3] +set_disable_timing cby_3__2_/chany_top_in[3] +set_disable_timing cby_3__2_/chany_bottom_in[4] +set_disable_timing cby_3__2_/chany_top_in[4] +set_disable_timing cby_3__2_/chany_bottom_in[5] +set_disable_timing cby_3__2_/chany_top_in[5] +set_disable_timing cby_3__2_/chany_top_in[6] +set_disable_timing cby_3__2_/chany_bottom_in[7] +set_disable_timing cby_3__2_/chany_top_in[7] +set_disable_timing cby_3__2_/chany_bottom_in[8] +set_disable_timing cby_3__2_/chany_top_in[8] +set_disable_timing cby_3__2_/chany_bottom_in[9] +set_disable_timing cby_3__2_/chany_top_in[9] +set_disable_timing cby_3__2_/chany_bottom_out[0] +set_disable_timing cby_3__2_/chany_top_out[0] +set_disable_timing cby_3__2_/chany_bottom_out[1] +set_disable_timing cby_3__2_/chany_top_out[1] +set_disable_timing cby_3__2_/chany_top_out[2] +set_disable_timing cby_3__2_/chany_bottom_out[3] +set_disable_timing cby_3__2_/chany_top_out[3] +set_disable_timing cby_3__2_/chany_bottom_out[4] +set_disable_timing cby_3__2_/chany_top_out[4] +set_disable_timing cby_3__2_/chany_bottom_out[5] +set_disable_timing cby_3__2_/chany_top_out[5] +set_disable_timing cby_3__2_/chany_top_out[6] +set_disable_timing cby_3__2_/chany_bottom_out[7] +set_disable_timing cby_3__2_/chany_top_out[7] +set_disable_timing cby_3__2_/chany_bottom_out[8] +set_disable_timing cby_3__2_/chany_top_out[8] +set_disable_timing cby_3__2_/chany_bottom_out[9] +set_disable_timing cby_3__2_/chany_top_out[9] +set_disable_timing cby_3__2_/right_grid_left_width_0_height_0_subtile_0__pin_I_3_[0] +set_disable_timing cby_3__2_/right_grid_left_width_0_height_0_subtile_0__pin_I_7_[0] +set_disable_timing cby_3__2_/left_grid_right_width_0_height_0_subtile_0__pin_I_1_[0] +set_disable_timing cby_3__2_/left_grid_right_width_0_height_0_subtile_0__pin_I_5_[0] +set_disable_timing cby_3__2_/left_grid_right_width_0_height_0_subtile_0__pin_I_9_[0] +set_disable_timing cby_3__2_/mux_left_ipin_0/in[1] +set_disable_timing cby_3__2_/mux_left_ipin_0/in[0] +set_disable_timing cby_3__2_/mux_left_ipin_1/in[1] +set_disable_timing cby_3__2_/mux_left_ipin_1/in[0] +set_disable_timing cby_3__2_/mux_right_ipin_0/in[1] +set_disable_timing cby_3__2_/mux_right_ipin_0/in[0] +set_disable_timing cby_3__2_/mux_right_ipin_1/in[1] +set_disable_timing cby_3__2_/mux_right_ipin_1/in[0] +set_disable_timing cby_3__2_/mux_right_ipin_2/in[1] +set_disable_timing cby_3__2_/mux_right_ipin_2/in[0] +set_disable_timing cby_3__2_/mux_left_ipin_0/in[3] +set_disable_timing cby_3__2_/mux_left_ipin_0/in[2] +set_disable_timing cby_3__2_/mux_right_ipin_0/in[3] +set_disable_timing cby_3__2_/mux_right_ipin_0/in[2] +################################################## +# Disable timing for Connection block cby_1__1_ +################################################## +set_disable_timing cby_3__3_/chany_bottom_in[0] +set_disable_timing cby_3__3_/chany_top_in[0] +set_disable_timing cby_3__3_/chany_bottom_in[1] +set_disable_timing cby_3__3_/chany_top_in[1] +set_disable_timing cby_3__3_/chany_bottom_in[2] +set_disable_timing cby_3__3_/chany_top_in[2] +set_disable_timing cby_3__3_/chany_top_in[3] +set_disable_timing cby_3__3_/chany_bottom_in[4] +set_disable_timing cby_3__3_/chany_top_in[4] +set_disable_timing cby_3__3_/chany_bottom_in[5] +set_disable_timing cby_3__3_/chany_top_in[5] +set_disable_timing cby_3__3_/chany_bottom_in[6] +set_disable_timing cby_3__3_/chany_top_in[6] +set_disable_timing cby_3__3_/chany_top_in[7] +set_disable_timing cby_3__3_/chany_bottom_in[8] +set_disable_timing cby_3__3_/chany_top_in[8] +set_disable_timing cby_3__3_/chany_bottom_in[9] +set_disable_timing cby_3__3_/chany_top_in[9] +set_disable_timing cby_3__3_/chany_bottom_out[0] +set_disable_timing cby_3__3_/chany_top_out[0] +set_disable_timing cby_3__3_/chany_bottom_out[1] +set_disable_timing cby_3__3_/chany_top_out[1] +set_disable_timing cby_3__3_/chany_bottom_out[2] +set_disable_timing cby_3__3_/chany_top_out[2] +set_disable_timing cby_3__3_/chany_top_out[3] +set_disable_timing cby_3__3_/chany_bottom_out[4] +set_disable_timing cby_3__3_/chany_top_out[4] +set_disable_timing cby_3__3_/chany_bottom_out[5] +set_disable_timing cby_3__3_/chany_top_out[5] +set_disable_timing cby_3__3_/chany_bottom_out[6] +set_disable_timing cby_3__3_/chany_top_out[6] +set_disable_timing cby_3__3_/chany_top_out[7] +set_disable_timing cby_3__3_/chany_bottom_out[8] +set_disable_timing cby_3__3_/chany_top_out[8] +set_disable_timing cby_3__3_/chany_bottom_out[9] +set_disable_timing cby_3__3_/chany_top_out[9] +set_disable_timing cby_3__3_/right_grid_left_width_0_height_0_subtile_0__pin_I_3_[0] +set_disable_timing cby_3__3_/right_grid_left_width_0_height_0_subtile_0__pin_I_7_[0] +set_disable_timing cby_3__3_/left_grid_right_width_0_height_0_subtile_0__pin_I_1_[0] +set_disable_timing cby_3__3_/left_grid_right_width_0_height_0_subtile_0__pin_I_5_[0] +set_disable_timing cby_3__3_/left_grid_right_width_0_height_0_subtile_0__pin_I_9_[0] +set_disable_timing cby_3__3_/mux_left_ipin_0/in[1] +set_disable_timing cby_3__3_/mux_left_ipin_0/in[0] +set_disable_timing cby_3__3_/mux_left_ipin_1/in[1] +set_disable_timing cby_3__3_/mux_left_ipin_1/in[0] +set_disable_timing cby_3__3_/mux_right_ipin_0/in[1] +set_disable_timing cby_3__3_/mux_right_ipin_0/in[0] +set_disable_timing cby_3__3_/mux_right_ipin_1/in[1] +set_disable_timing cby_3__3_/mux_right_ipin_1/in[0] +set_disable_timing cby_3__3_/mux_right_ipin_2/in[1] +set_disable_timing cby_3__3_/mux_right_ipin_2/in[0] +set_disable_timing cby_3__3_/mux_left_ipin_0/in[3] +set_disable_timing cby_3__3_/mux_left_ipin_0/in[2] +set_disable_timing cby_3__3_/mux_right_ipin_0/in[3] +set_disable_timing cby_3__3_/mux_right_ipin_0/in[2] +################################################## +# Disable timing for Connection block cby_1__1_ +################################################## +set_disable_timing cby_3__4_/chany_bottom_in[0] +set_disable_timing cby_3__4_/chany_top_in[0] +set_disable_timing cby_3__4_/chany_bottom_in[1] +set_disable_timing cby_3__4_/chany_top_in[1] +set_disable_timing cby_3__4_/chany_bottom_in[2] +set_disable_timing cby_3__4_/chany_top_in[2] +set_disable_timing cby_3__4_/chany_bottom_in[3] +set_disable_timing cby_3__4_/chany_top_in[3] +set_disable_timing cby_3__4_/chany_bottom_in[4] +set_disable_timing cby_3__4_/chany_top_in[4] +set_disable_timing cby_3__4_/chany_bottom_in[5] +set_disable_timing cby_3__4_/chany_top_in[5] +set_disable_timing cby_3__4_/chany_bottom_in[6] +set_disable_timing cby_3__4_/chany_top_in[6] +set_disable_timing cby_3__4_/chany_bottom_in[7] +set_disable_timing cby_3__4_/chany_top_in[7] +set_disable_timing cby_3__4_/chany_bottom_in[8] +set_disable_timing cby_3__4_/chany_top_in[8] +set_disable_timing cby_3__4_/chany_bottom_in[9] +set_disable_timing cby_3__4_/chany_top_in[9] +set_disable_timing cby_3__4_/chany_bottom_out[0] +set_disable_timing cby_3__4_/chany_top_out[0] +set_disable_timing cby_3__4_/chany_bottom_out[1] +set_disable_timing cby_3__4_/chany_top_out[1] +set_disable_timing cby_3__4_/chany_bottom_out[2] +set_disable_timing cby_3__4_/chany_top_out[2] +set_disable_timing cby_3__4_/chany_bottom_out[3] +set_disable_timing cby_3__4_/chany_top_out[3] +set_disable_timing cby_3__4_/chany_bottom_out[4] +set_disable_timing cby_3__4_/chany_top_out[4] +set_disable_timing cby_3__4_/chany_bottom_out[5] +set_disable_timing cby_3__4_/chany_top_out[5] +set_disable_timing cby_3__4_/chany_bottom_out[6] +set_disable_timing cby_3__4_/chany_top_out[6] +set_disable_timing cby_3__4_/chany_bottom_out[7] +set_disable_timing cby_3__4_/chany_top_out[7] +set_disable_timing cby_3__4_/chany_bottom_out[8] +set_disable_timing cby_3__4_/chany_top_out[8] +set_disable_timing cby_3__4_/chany_bottom_out[9] +set_disable_timing cby_3__4_/chany_top_out[9] +set_disable_timing cby_3__4_/right_grid_left_width_0_height_0_subtile_0__pin_I_3_[0] +set_disable_timing cby_3__4_/right_grid_left_width_0_height_0_subtile_0__pin_I_7_[0] +set_disable_timing cby_3__4_/left_grid_right_width_0_height_0_subtile_0__pin_I_1_[0] +set_disable_timing cby_3__4_/left_grid_right_width_0_height_0_subtile_0__pin_I_5_[0] +set_disable_timing cby_3__4_/left_grid_right_width_0_height_0_subtile_0__pin_I_9_[0] +set_disable_timing cby_3__4_/mux_left_ipin_0/in[1] +set_disable_timing cby_3__4_/mux_left_ipin_0/in[0] +set_disable_timing cby_3__4_/mux_left_ipin_1/in[1] +set_disable_timing cby_3__4_/mux_left_ipin_1/in[0] +set_disable_timing cby_3__4_/mux_right_ipin_0/in[1] +set_disable_timing cby_3__4_/mux_right_ipin_0/in[0] +set_disable_timing cby_3__4_/mux_right_ipin_1/in[1] +set_disable_timing cby_3__4_/mux_right_ipin_1/in[0] +set_disable_timing cby_3__4_/mux_right_ipin_2/in[1] +set_disable_timing cby_3__4_/mux_right_ipin_2/in[0] +set_disable_timing cby_3__4_/mux_left_ipin_0/in[3] +set_disable_timing cby_3__4_/mux_left_ipin_0/in[2] +set_disable_timing cby_3__4_/mux_right_ipin_0/in[3] +set_disable_timing cby_3__4_/mux_right_ipin_0/in[2] +################################################## +# Disable timing for Connection block cby_4__1_ +################################################## +set_disable_timing cby_4__1_/chany_top_in[0] +set_disable_timing cby_4__1_/chany_bottom_in[1] +set_disable_timing cby_4__1_/chany_top_in[1] +set_disable_timing cby_4__1_/chany_bottom_in[2] +set_disable_timing cby_4__1_/chany_top_in[2] +set_disable_timing cby_4__1_/chany_bottom_in[3] +set_disable_timing cby_4__1_/chany_top_in[3] +set_disable_timing cby_4__1_/chany_bottom_in[4] +set_disable_timing cby_4__1_/chany_top_in[4] +set_disable_timing cby_4__1_/chany_bottom_in[5] +set_disable_timing cby_4__1_/chany_top_in[5] +set_disable_timing cby_4__1_/chany_bottom_in[6] +set_disable_timing cby_4__1_/chany_top_in[6] +set_disable_timing cby_4__1_/chany_bottom_in[7] +set_disable_timing cby_4__1_/chany_top_in[7] +set_disable_timing cby_4__1_/chany_bottom_in[8] +set_disable_timing cby_4__1_/chany_top_in[8] +set_disable_timing cby_4__1_/chany_bottom_in[9] +set_disable_timing cby_4__1_/chany_top_in[9] +set_disable_timing cby_4__1_/chany_top_out[0] +set_disable_timing cby_4__1_/chany_bottom_out[1] +set_disable_timing cby_4__1_/chany_top_out[1] +set_disable_timing cby_4__1_/chany_bottom_out[2] +set_disable_timing cby_4__1_/chany_top_out[2] +set_disable_timing cby_4__1_/chany_bottom_out[3] +set_disable_timing cby_4__1_/chany_top_out[3] +set_disable_timing cby_4__1_/chany_bottom_out[4] +set_disable_timing cby_4__1_/chany_top_out[4] +set_disable_timing cby_4__1_/chany_bottom_out[5] +set_disable_timing cby_4__1_/chany_top_out[5] +set_disable_timing cby_4__1_/chany_bottom_out[6] +set_disable_timing cby_4__1_/chany_top_out[6] +set_disable_timing cby_4__1_/chany_bottom_out[7] +set_disable_timing cby_4__1_/chany_top_out[7] +set_disable_timing cby_4__1_/chany_bottom_out[8] +set_disable_timing cby_4__1_/chany_top_out[8] +set_disable_timing cby_4__1_/chany_bottom_out[9] +set_disable_timing cby_4__1_/chany_top_out[9] +set_disable_timing cby_4__1_/right_grid_left_width_0_height_0_subtile_1__pin_outpad_0_[0] +set_disable_timing cby_4__1_/right_grid_left_width_0_height_0_subtile_2__pin_outpad_0_[0] +set_disable_timing cby_4__1_/right_grid_left_width_0_height_0_subtile_3__pin_outpad_0_[0] +set_disable_timing cby_4__1_/right_grid_left_width_0_height_0_subtile_4__pin_outpad_0_[0] +set_disable_timing cby_4__1_/right_grid_left_width_0_height_0_subtile_5__pin_outpad_0_[0] +set_disable_timing cby_4__1_/right_grid_left_width_0_height_0_subtile_6__pin_outpad_0_[0] +set_disable_timing cby_4__1_/right_grid_left_width_0_height_0_subtile_7__pin_outpad_0_[0] +set_disable_timing cby_4__1_/left_grid_right_width_0_height_0_subtile_0__pin_I_1_[0] +set_disable_timing cby_4__1_/left_grid_right_width_0_height_0_subtile_0__pin_I_5_[0] +set_disable_timing cby_4__1_/left_grid_right_width_0_height_0_subtile_0__pin_I_9_[0] +set_disable_timing cby_4__1_/mux_left_ipin_5/in[1] +set_disable_timing cby_4__1_/mux_right_ipin_2/in[1] +set_disable_timing cby_4__1_/mux_left_ipin_0/in[0] +set_disable_timing cby_4__1_/mux_left_ipin_5/in[0] +set_disable_timing cby_4__1_/mux_right_ipin_2/in[0] +set_disable_timing cby_4__1_/mux_left_ipin_1/in[1] +set_disable_timing cby_4__1_/mux_left_ipin_6/in[1] +set_disable_timing cby_4__1_/mux_left_ipin_1/in[0] +set_disable_timing cby_4__1_/mux_left_ipin_6/in[0] +set_disable_timing cby_4__1_/mux_left_ipin_2/in[1] +set_disable_timing cby_4__1_/mux_left_ipin_7/in[1] +set_disable_timing cby_4__1_/mux_left_ipin_2/in[0] +set_disable_timing cby_4__1_/mux_left_ipin_7/in[0] +set_disable_timing cby_4__1_/mux_left_ipin_3/in[1] +set_disable_timing cby_4__1_/mux_right_ipin_0/in[1] +set_disable_timing cby_4__1_/mux_left_ipin_3/in[0] +set_disable_timing cby_4__1_/mux_right_ipin_0/in[0] +set_disable_timing cby_4__1_/mux_left_ipin_4/in[1] +set_disable_timing cby_4__1_/mux_left_ipin_4/in[0] +set_disable_timing cby_4__1_/mux_left_ipin_0/in[3] +set_disable_timing cby_4__1_/mux_left_ipin_5/in[3] +set_disable_timing cby_4__1_/mux_left_ipin_0/in[2] +set_disable_timing cby_4__1_/mux_left_ipin_5/in[2] +set_disable_timing cby_4__1_/mux_left_ipin_1/in[3] +set_disable_timing cby_4__1_/mux_left_ipin_6/in[3] +set_disable_timing cby_4__1_/mux_left_ipin_1/in[2] +set_disable_timing cby_4__1_/mux_left_ipin_6/in[2] +set_disable_timing cby_4__1_/mux_left_ipin_2/in[3] +set_disable_timing cby_4__1_/mux_left_ipin_7/in[3] +set_disable_timing cby_4__1_/mux_left_ipin_2/in[2] +set_disable_timing cby_4__1_/mux_left_ipin_7/in[2] +set_disable_timing cby_4__1_/mux_left_ipin_3/in[3] +set_disable_timing cby_4__1_/mux_right_ipin_0/in[3] +set_disable_timing cby_4__1_/mux_left_ipin_3/in[2] +set_disable_timing cby_4__1_/mux_right_ipin_0/in[2] +set_disable_timing cby_4__1_/mux_left_ipin_4/in[3] +set_disable_timing cby_4__1_/mux_right_ipin_1/in[1] +set_disable_timing cby_4__1_/mux_left_ipin_4/in[2] +set_disable_timing cby_4__1_/mux_right_ipin_1/in[0] +################################################## +# Disable timing for Connection block cby_4__1_ +################################################## +set_disable_timing cby_4__2_/chany_bottom_in[0] +set_disable_timing cby_4__2_/chany_top_in[0] +set_disable_timing cby_4__2_/chany_top_in[1] +set_disable_timing cby_4__2_/chany_bottom_in[2] +set_disable_timing cby_4__2_/chany_top_in[2] +set_disable_timing cby_4__2_/chany_bottom_in[3] +set_disable_timing cby_4__2_/chany_top_in[3] +set_disable_timing cby_4__2_/chany_bottom_in[4] +set_disable_timing cby_4__2_/chany_top_in[4] +set_disable_timing cby_4__2_/chany_bottom_in[5] +set_disable_timing cby_4__2_/chany_top_in[5] +set_disable_timing cby_4__2_/chany_bottom_in[6] +set_disable_timing cby_4__2_/chany_top_in[6] +set_disable_timing cby_4__2_/chany_bottom_in[7] +set_disable_timing cby_4__2_/chany_top_in[7] +set_disable_timing cby_4__2_/chany_bottom_in[8] +set_disable_timing cby_4__2_/chany_top_in[8] +set_disable_timing cby_4__2_/chany_bottom_in[9] +set_disable_timing cby_4__2_/chany_top_in[9] +set_disable_timing cby_4__2_/chany_bottom_out[0] +set_disable_timing cby_4__2_/chany_top_out[0] +set_disable_timing cby_4__2_/chany_top_out[1] +set_disable_timing cby_4__2_/chany_bottom_out[2] +set_disable_timing cby_4__2_/chany_top_out[2] +set_disable_timing cby_4__2_/chany_bottom_out[3] +set_disable_timing cby_4__2_/chany_top_out[3] +set_disable_timing cby_4__2_/chany_bottom_out[4] +set_disable_timing cby_4__2_/chany_top_out[4] +set_disable_timing cby_4__2_/chany_bottom_out[5] +set_disable_timing cby_4__2_/chany_top_out[5] +set_disable_timing cby_4__2_/chany_bottom_out[6] +set_disable_timing cby_4__2_/chany_top_out[6] +set_disable_timing cby_4__2_/chany_bottom_out[7] +set_disable_timing cby_4__2_/chany_top_out[7] +set_disable_timing cby_4__2_/chany_bottom_out[8] +set_disable_timing cby_4__2_/chany_top_out[8] +set_disable_timing cby_4__2_/chany_bottom_out[9] +set_disable_timing cby_4__2_/chany_top_out[9] +set_disable_timing cby_4__2_/right_grid_left_width_0_height_0_subtile_0__pin_outpad_0_[0] +set_disable_timing cby_4__2_/right_grid_left_width_0_height_0_subtile_1__pin_outpad_0_[0] +set_disable_timing cby_4__2_/right_grid_left_width_0_height_0_subtile_2__pin_outpad_0_[0] +set_disable_timing cby_4__2_/right_grid_left_width_0_height_0_subtile_3__pin_outpad_0_[0] +set_disable_timing cby_4__2_/right_grid_left_width_0_height_0_subtile_4__pin_outpad_0_[0] +set_disable_timing cby_4__2_/right_grid_left_width_0_height_0_subtile_5__pin_outpad_0_[0] +set_disable_timing cby_4__2_/right_grid_left_width_0_height_0_subtile_6__pin_outpad_0_[0] +set_disable_timing cby_4__2_/right_grid_left_width_0_height_0_subtile_7__pin_outpad_0_[0] +set_disable_timing cby_4__2_/left_grid_right_width_0_height_0_subtile_0__pin_I_1_[0] +set_disable_timing cby_4__2_/left_grid_right_width_0_height_0_subtile_0__pin_I_5_[0] +set_disable_timing cby_4__2_/left_grid_right_width_0_height_0_subtile_0__pin_I_9_[0] +set_disable_timing cby_4__2_/mux_left_ipin_0/in[1] +set_disable_timing cby_4__2_/mux_left_ipin_5/in[1] +set_disable_timing cby_4__2_/mux_right_ipin_2/in[1] +set_disable_timing cby_4__2_/mux_left_ipin_0/in[0] +set_disable_timing cby_4__2_/mux_left_ipin_5/in[0] +set_disable_timing cby_4__2_/mux_right_ipin_2/in[0] +set_disable_timing cby_4__2_/mux_left_ipin_1/in[1] +set_disable_timing cby_4__2_/mux_left_ipin_6/in[1] +set_disable_timing cby_4__2_/mux_left_ipin_1/in[0] +set_disable_timing cby_4__2_/mux_left_ipin_6/in[0] +set_disable_timing cby_4__2_/mux_left_ipin_2/in[1] +set_disable_timing cby_4__2_/mux_left_ipin_7/in[1] +set_disable_timing cby_4__2_/mux_left_ipin_2/in[0] +set_disable_timing cby_4__2_/mux_left_ipin_7/in[0] +set_disable_timing cby_4__2_/mux_left_ipin_3/in[1] +set_disable_timing cby_4__2_/mux_right_ipin_0/in[1] +set_disable_timing cby_4__2_/mux_left_ipin_3/in[0] +set_disable_timing cby_4__2_/mux_right_ipin_0/in[0] +set_disable_timing cby_4__2_/mux_left_ipin_4/in[1] +set_disable_timing cby_4__2_/mux_left_ipin_4/in[0] +set_disable_timing cby_4__2_/mux_left_ipin_0/in[3] +set_disable_timing cby_4__2_/mux_left_ipin_5/in[3] +set_disable_timing cby_4__2_/mux_left_ipin_0/in[2] +set_disable_timing cby_4__2_/mux_left_ipin_5/in[2] +set_disable_timing cby_4__2_/mux_left_ipin_1/in[3] +set_disable_timing cby_4__2_/mux_left_ipin_6/in[3] +set_disable_timing cby_4__2_/mux_left_ipin_1/in[2] +set_disable_timing cby_4__2_/mux_left_ipin_6/in[2] +set_disable_timing cby_4__2_/mux_left_ipin_2/in[3] +set_disable_timing cby_4__2_/mux_left_ipin_7/in[3] +set_disable_timing cby_4__2_/mux_left_ipin_2/in[2] +set_disable_timing cby_4__2_/mux_left_ipin_7/in[2] +set_disable_timing cby_4__2_/mux_left_ipin_3/in[3] +set_disable_timing cby_4__2_/mux_right_ipin_0/in[3] +set_disable_timing cby_4__2_/mux_left_ipin_3/in[2] +set_disable_timing cby_4__2_/mux_right_ipin_0/in[2] +set_disable_timing cby_4__2_/mux_left_ipin_4/in[3] +set_disable_timing cby_4__2_/mux_right_ipin_1/in[1] +set_disable_timing cby_4__2_/mux_left_ipin_4/in[2] +set_disable_timing cby_4__2_/mux_right_ipin_1/in[0] +################################################## +# Disable timing for Connection block cby_4__1_ +################################################## +set_disable_timing cby_4__3_/chany_bottom_in[0] +set_disable_timing cby_4__3_/chany_top_in[0] +set_disable_timing cby_4__3_/chany_bottom_in[1] +set_disable_timing cby_4__3_/chany_top_in[1] +set_disable_timing cby_4__3_/chany_top_in[2] +set_disable_timing cby_4__3_/chany_bottom_in[3] +set_disable_timing cby_4__3_/chany_top_in[3] +set_disable_timing cby_4__3_/chany_bottom_in[4] +set_disable_timing cby_4__3_/chany_top_in[4] +set_disable_timing cby_4__3_/chany_bottom_in[5] +set_disable_timing cby_4__3_/chany_top_in[5] +set_disable_timing cby_4__3_/chany_bottom_in[6] +set_disable_timing cby_4__3_/chany_top_in[6] +set_disable_timing cby_4__3_/chany_bottom_in[7] +set_disable_timing cby_4__3_/chany_top_in[7] +set_disable_timing cby_4__3_/chany_bottom_in[8] +set_disable_timing cby_4__3_/chany_top_in[8] +set_disable_timing cby_4__3_/chany_bottom_in[9] +set_disable_timing cby_4__3_/chany_top_in[9] +set_disable_timing cby_4__3_/chany_bottom_out[0] +set_disable_timing cby_4__3_/chany_top_out[0] +set_disable_timing cby_4__3_/chany_bottom_out[1] +set_disable_timing cby_4__3_/chany_top_out[1] +set_disable_timing cby_4__3_/chany_top_out[2] +set_disable_timing cby_4__3_/chany_bottom_out[3] +set_disable_timing cby_4__3_/chany_top_out[3] +set_disable_timing cby_4__3_/chany_bottom_out[4] +set_disable_timing cby_4__3_/chany_top_out[4] +set_disable_timing cby_4__3_/chany_bottom_out[5] +set_disable_timing cby_4__3_/chany_top_out[5] +set_disable_timing cby_4__3_/chany_bottom_out[6] +set_disable_timing cby_4__3_/chany_top_out[6] +set_disable_timing cby_4__3_/chany_bottom_out[7] +set_disable_timing cby_4__3_/chany_top_out[7] +set_disable_timing cby_4__3_/chany_bottom_out[8] +set_disable_timing cby_4__3_/chany_top_out[8] +set_disable_timing cby_4__3_/chany_bottom_out[9] +set_disable_timing cby_4__3_/chany_top_out[9] +set_disable_timing cby_4__3_/right_grid_left_width_0_height_0_subtile_0__pin_outpad_0_[0] +set_disable_timing cby_4__3_/right_grid_left_width_0_height_0_subtile_1__pin_outpad_0_[0] +set_disable_timing cby_4__3_/right_grid_left_width_0_height_0_subtile_2__pin_outpad_0_[0] +set_disable_timing cby_4__3_/right_grid_left_width_0_height_0_subtile_3__pin_outpad_0_[0] +set_disable_timing cby_4__3_/right_grid_left_width_0_height_0_subtile_4__pin_outpad_0_[0] +set_disable_timing cby_4__3_/right_grid_left_width_0_height_0_subtile_5__pin_outpad_0_[0] +set_disable_timing cby_4__3_/right_grid_left_width_0_height_0_subtile_6__pin_outpad_0_[0] +set_disable_timing cby_4__3_/right_grid_left_width_0_height_0_subtile_7__pin_outpad_0_[0] +set_disable_timing cby_4__3_/left_grid_right_width_0_height_0_subtile_0__pin_I_1_[0] +set_disable_timing cby_4__3_/left_grid_right_width_0_height_0_subtile_0__pin_I_5_[0] +set_disable_timing cby_4__3_/left_grid_right_width_0_height_0_subtile_0__pin_I_9_[0] +set_disable_timing cby_4__3_/mux_left_ipin_0/in[1] +set_disable_timing cby_4__3_/mux_left_ipin_5/in[1] +set_disable_timing cby_4__3_/mux_right_ipin_2/in[1] +set_disable_timing cby_4__3_/mux_left_ipin_0/in[0] +set_disable_timing cby_4__3_/mux_left_ipin_5/in[0] +set_disable_timing cby_4__3_/mux_right_ipin_2/in[0] +set_disable_timing cby_4__3_/mux_left_ipin_1/in[1] +set_disable_timing cby_4__3_/mux_left_ipin_6/in[1] +set_disable_timing cby_4__3_/mux_left_ipin_1/in[0] +set_disable_timing cby_4__3_/mux_left_ipin_6/in[0] +set_disable_timing cby_4__3_/mux_left_ipin_2/in[1] +set_disable_timing cby_4__3_/mux_left_ipin_7/in[1] +set_disable_timing cby_4__3_/mux_left_ipin_2/in[0] +set_disable_timing cby_4__3_/mux_left_ipin_7/in[0] +set_disable_timing cby_4__3_/mux_left_ipin_3/in[1] +set_disable_timing cby_4__3_/mux_right_ipin_0/in[1] +set_disable_timing cby_4__3_/mux_left_ipin_3/in[0] +set_disable_timing cby_4__3_/mux_right_ipin_0/in[0] +set_disable_timing cby_4__3_/mux_left_ipin_4/in[1] +set_disable_timing cby_4__3_/mux_left_ipin_4/in[0] +set_disable_timing cby_4__3_/mux_left_ipin_0/in[3] +set_disable_timing cby_4__3_/mux_left_ipin_5/in[3] +set_disable_timing cby_4__3_/mux_left_ipin_0/in[2] +set_disable_timing cby_4__3_/mux_left_ipin_5/in[2] +set_disable_timing cby_4__3_/mux_left_ipin_1/in[3] +set_disable_timing cby_4__3_/mux_left_ipin_6/in[3] +set_disable_timing cby_4__3_/mux_left_ipin_1/in[2] +set_disable_timing cby_4__3_/mux_left_ipin_6/in[2] +set_disable_timing cby_4__3_/mux_left_ipin_2/in[3] +set_disable_timing cby_4__3_/mux_left_ipin_7/in[3] +set_disable_timing cby_4__3_/mux_left_ipin_2/in[2] +set_disable_timing cby_4__3_/mux_left_ipin_7/in[2] +set_disable_timing cby_4__3_/mux_left_ipin_3/in[3] +set_disable_timing cby_4__3_/mux_right_ipin_0/in[3] +set_disable_timing cby_4__3_/mux_left_ipin_3/in[2] +set_disable_timing cby_4__3_/mux_right_ipin_0/in[2] +set_disable_timing cby_4__3_/mux_left_ipin_4/in[3] +set_disable_timing cby_4__3_/mux_right_ipin_1/in[1] +set_disable_timing cby_4__3_/mux_left_ipin_4/in[2] +set_disable_timing cby_4__3_/mux_right_ipin_1/in[0] +################################################## +# Disable timing for Connection block cby_4__1_ +################################################## +set_disable_timing cby_4__4_/chany_bottom_in[0] +set_disable_timing cby_4__4_/chany_top_in[0] +set_disable_timing cby_4__4_/chany_bottom_in[1] +set_disable_timing cby_4__4_/chany_top_in[1] +set_disable_timing cby_4__4_/chany_bottom_in[2] +set_disable_timing cby_4__4_/chany_top_in[2] +set_disable_timing cby_4__4_/chany_top_in[3] +set_disable_timing cby_4__4_/chany_bottom_in[4] +set_disable_timing cby_4__4_/chany_top_in[4] +set_disable_timing cby_4__4_/chany_bottom_in[5] +set_disable_timing cby_4__4_/chany_top_in[5] +set_disable_timing cby_4__4_/chany_bottom_in[6] +set_disable_timing cby_4__4_/chany_top_in[6] +set_disable_timing cby_4__4_/chany_bottom_in[7] +set_disable_timing cby_4__4_/chany_top_in[7] +set_disable_timing cby_4__4_/chany_bottom_in[8] +set_disable_timing cby_4__4_/chany_top_in[8] +set_disable_timing cby_4__4_/chany_bottom_in[9] +set_disable_timing cby_4__4_/chany_top_in[9] +set_disable_timing cby_4__4_/chany_bottom_out[0] +set_disable_timing cby_4__4_/chany_top_out[0] +set_disable_timing cby_4__4_/chany_bottom_out[1] +set_disable_timing cby_4__4_/chany_top_out[1] +set_disable_timing cby_4__4_/chany_bottom_out[2] +set_disable_timing cby_4__4_/chany_top_out[2] +set_disable_timing cby_4__4_/chany_top_out[3] +set_disable_timing cby_4__4_/chany_bottom_out[4] +set_disable_timing cby_4__4_/chany_top_out[4] +set_disable_timing cby_4__4_/chany_bottom_out[5] +set_disable_timing cby_4__4_/chany_top_out[5] +set_disable_timing cby_4__4_/chany_bottom_out[6] +set_disable_timing cby_4__4_/chany_top_out[6] +set_disable_timing cby_4__4_/chany_bottom_out[7] +set_disable_timing cby_4__4_/chany_top_out[7] +set_disable_timing cby_4__4_/chany_bottom_out[8] +set_disable_timing cby_4__4_/chany_top_out[8] +set_disable_timing cby_4__4_/chany_bottom_out[9] +set_disable_timing cby_4__4_/chany_top_out[9] +set_disable_timing cby_4__4_/right_grid_left_width_0_height_0_subtile_0__pin_outpad_0_[0] +set_disable_timing cby_4__4_/right_grid_left_width_0_height_0_subtile_1__pin_outpad_0_[0] +set_disable_timing cby_4__4_/right_grid_left_width_0_height_0_subtile_2__pin_outpad_0_[0] +set_disable_timing cby_4__4_/right_grid_left_width_0_height_0_subtile_3__pin_outpad_0_[0] +set_disable_timing cby_4__4_/right_grid_left_width_0_height_0_subtile_4__pin_outpad_0_[0] +set_disable_timing cby_4__4_/right_grid_left_width_0_height_0_subtile_5__pin_outpad_0_[0] +set_disable_timing cby_4__4_/right_grid_left_width_0_height_0_subtile_6__pin_outpad_0_[0] +set_disable_timing cby_4__4_/right_grid_left_width_0_height_0_subtile_7__pin_outpad_0_[0] +set_disable_timing cby_4__4_/left_grid_right_width_0_height_0_subtile_0__pin_I_1_[0] +set_disable_timing cby_4__4_/left_grid_right_width_0_height_0_subtile_0__pin_I_5_[0] +set_disable_timing cby_4__4_/left_grid_right_width_0_height_0_subtile_0__pin_I_9_[0] +set_disable_timing cby_4__4_/mux_left_ipin_0/in[1] +set_disable_timing cby_4__4_/mux_left_ipin_5/in[1] +set_disable_timing cby_4__4_/mux_right_ipin_2/in[1] +set_disable_timing cby_4__4_/mux_left_ipin_0/in[0] +set_disable_timing cby_4__4_/mux_left_ipin_5/in[0] +set_disable_timing cby_4__4_/mux_right_ipin_2/in[0] +set_disable_timing cby_4__4_/mux_left_ipin_1/in[1] +set_disable_timing cby_4__4_/mux_left_ipin_6/in[1] +set_disable_timing cby_4__4_/mux_left_ipin_1/in[0] +set_disable_timing cby_4__4_/mux_left_ipin_6/in[0] +set_disable_timing cby_4__4_/mux_left_ipin_2/in[1] +set_disable_timing cby_4__4_/mux_left_ipin_7/in[1] +set_disable_timing cby_4__4_/mux_left_ipin_2/in[0] +set_disable_timing cby_4__4_/mux_left_ipin_7/in[0] +set_disable_timing cby_4__4_/mux_left_ipin_3/in[1] +set_disable_timing cby_4__4_/mux_right_ipin_0/in[1] +set_disable_timing cby_4__4_/mux_left_ipin_3/in[0] +set_disable_timing cby_4__4_/mux_right_ipin_0/in[0] +set_disable_timing cby_4__4_/mux_left_ipin_4/in[1] +set_disable_timing cby_4__4_/mux_left_ipin_4/in[0] +set_disable_timing cby_4__4_/mux_left_ipin_0/in[3] +set_disable_timing cby_4__4_/mux_left_ipin_5/in[3] +set_disable_timing cby_4__4_/mux_left_ipin_0/in[2] +set_disable_timing cby_4__4_/mux_left_ipin_5/in[2] +set_disable_timing cby_4__4_/mux_left_ipin_1/in[3] +set_disable_timing cby_4__4_/mux_left_ipin_6/in[3] +set_disable_timing cby_4__4_/mux_left_ipin_1/in[2] +set_disable_timing cby_4__4_/mux_left_ipin_6/in[2] +set_disable_timing cby_4__4_/mux_left_ipin_2/in[3] +set_disable_timing cby_4__4_/mux_left_ipin_7/in[3] +set_disable_timing cby_4__4_/mux_left_ipin_2/in[2] +set_disable_timing cby_4__4_/mux_left_ipin_7/in[2] +set_disable_timing cby_4__4_/mux_left_ipin_3/in[3] +set_disable_timing cby_4__4_/mux_right_ipin_0/in[3] +set_disable_timing cby_4__4_/mux_left_ipin_3/in[2] +set_disable_timing cby_4__4_/mux_right_ipin_0/in[2] +set_disable_timing cby_4__4_/mux_left_ipin_4/in[3] +set_disable_timing cby_4__4_/mux_right_ipin_1/in[1] +set_disable_timing cby_4__4_/mux_left_ipin_4/in[2] +set_disable_timing cby_4__4_/mux_right_ipin_1/in[0] +################################################## +# Disable timing for Switch block sb_0__0_ +################################################## +set_disable_timing sb_0__0_/chany_top_out[0] +set_disable_timing sb_0__0_/chany_top_in[0] +set_disable_timing sb_0__0_/chany_top_out[1] +set_disable_timing sb_0__0_/chany_top_in[1] +set_disable_timing sb_0__0_/chany_top_out[2] +set_disable_timing sb_0__0_/chany_top_in[2] +set_disable_timing sb_0__0_/chany_top_out[3] +set_disable_timing sb_0__0_/chany_top_in[3] +set_disable_timing sb_0__0_/chany_top_out[4] +set_disable_timing sb_0__0_/chany_top_in[4] +set_disable_timing sb_0__0_/chany_top_out[5] +set_disable_timing sb_0__0_/chany_top_in[5] +set_disable_timing sb_0__0_/chany_top_out[6] +set_disable_timing sb_0__0_/chany_top_in[6] +set_disable_timing sb_0__0_/chany_top_out[7] +set_disable_timing sb_0__0_/chany_top_in[7] +set_disable_timing sb_0__0_/chany_top_out[8] +set_disable_timing sb_0__0_/chany_top_in[8] +set_disable_timing sb_0__0_/chany_top_out[9] +set_disable_timing sb_0__0_/chany_top_in[9] +set_disable_timing sb_0__0_/chanx_right_out[0] +set_disable_timing sb_0__0_/chanx_right_in[0] +set_disable_timing sb_0__0_/chanx_right_out[1] +set_disable_timing sb_0__0_/chanx_right_in[1] +set_disable_timing sb_0__0_/chanx_right_out[2] +set_disable_timing sb_0__0_/chanx_right_in[2] +set_disable_timing sb_0__0_/chanx_right_out[3] +set_disable_timing sb_0__0_/chanx_right_in[3] +set_disable_timing sb_0__0_/chanx_right_out[4] +set_disable_timing sb_0__0_/chanx_right_in[4] +set_disable_timing sb_0__0_/chanx_right_out[5] +set_disable_timing sb_0__0_/chanx_right_in[5] +set_disable_timing sb_0__0_/chanx_right_out[6] +set_disable_timing sb_0__0_/chanx_right_in[6] +set_disable_timing sb_0__0_/chanx_right_out[7] +set_disable_timing sb_0__0_/chanx_right_in[7] +set_disable_timing sb_0__0_/chanx_right_out[8] +set_disable_timing sb_0__0_/chanx_right_in[8] +set_disable_timing sb_0__0_/chanx_right_out[9] +set_disable_timing sb_0__0_/chanx_right_in[9] +set_disable_timing sb_0__0_/top_left_grid_right_width_0_height_0_subtile_0__pin_inpad_0_[0] +set_disable_timing sb_0__0_/top_left_grid_right_width_0_height_0_subtile_1__pin_inpad_0_[0] +set_disable_timing sb_0__0_/top_left_grid_right_width_0_height_0_subtile_2__pin_inpad_0_[0] +set_disable_timing sb_0__0_/top_left_grid_right_width_0_height_0_subtile_3__pin_inpad_0_[0] +set_disable_timing sb_0__0_/top_left_grid_right_width_0_height_0_subtile_4__pin_inpad_0_[0] +set_disable_timing sb_0__0_/top_left_grid_right_width_0_height_0_subtile_5__pin_inpad_0_[0] +set_disable_timing sb_0__0_/top_left_grid_right_width_0_height_0_subtile_6__pin_inpad_0_[0] +set_disable_timing sb_0__0_/top_left_grid_right_width_0_height_0_subtile_7__pin_inpad_0_[0] +set_disable_timing sb_0__0_/top_right_grid_left_width_0_height_0_subtile_0__pin_O_1_[0] +set_disable_timing sb_0__0_/right_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_[0] +set_disable_timing sb_0__0_/right_bottom_grid_top_width_0_height_0_subtile_0__pin_inpad_0_[0] +set_disable_timing sb_0__0_/right_bottom_grid_top_width_0_height_0_subtile_1__pin_inpad_0_[0] +set_disable_timing sb_0__0_/right_bottom_grid_top_width_0_height_0_subtile_2__pin_inpad_0_[0] +set_disable_timing sb_0__0_/right_bottom_grid_top_width_0_height_0_subtile_3__pin_inpad_0_[0] +set_disable_timing sb_0__0_/right_bottom_grid_top_width_0_height_0_subtile_4__pin_inpad_0_[0] +set_disable_timing sb_0__0_/right_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_[0] +set_disable_timing sb_0__0_/right_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_[0] +set_disable_timing sb_0__0_/right_bottom_grid_top_width_0_height_0_subtile_7__pin_inpad_0_[0] +set_disable_timing sb_0__0_/mux_top_track_0/in[0] +set_disable_timing sb_0__0_/mux_top_track_2/in[0] +set_disable_timing sb_0__0_/mux_top_track_4/in[0] +set_disable_timing sb_0__0_/mux_top_track_6/in[0] +set_disable_timing sb_0__0_/mux_top_track_8/in[0] +set_disable_timing sb_0__0_/mux_top_track_10/in[0] +set_disable_timing sb_0__0_/mux_top_track_12/in[0] +set_disable_timing sb_0__0_/mux_top_track_14/in[0] +set_disable_timing sb_0__0_/mux_top_track_16/in[0] +set_disable_timing sb_0__0_/mux_right_track_0/in[1] +set_disable_timing sb_0__0_/mux_right_track_2/in[1] +set_disable_timing sb_0__0_/mux_right_track_4/in[1] +set_disable_timing sb_0__0_/mux_right_track_6/in[1] +set_disable_timing sb_0__0_/mux_right_track_8/in[1] +set_disable_timing sb_0__0_/mux_right_track_10/in[1] +set_disable_timing sb_0__0_/mux_right_track_12/in[1] +set_disable_timing sb_0__0_/mux_right_track_14/in[1] +set_disable_timing sb_0__0_/mux_right_track_16/in[1] +set_disable_timing sb_0__0_/mux_right_track_2/in[0] +set_disable_timing sb_0__0_/mux_right_track_4/in[0] +set_disable_timing sb_0__0_/mux_right_track_6/in[0] +set_disable_timing sb_0__0_/mux_right_track_8/in[0] +set_disable_timing sb_0__0_/mux_right_track_10/in[0] +set_disable_timing sb_0__0_/mux_right_track_12/in[0] +set_disable_timing sb_0__0_/mux_right_track_14/in[0] +set_disable_timing sb_0__0_/mux_right_track_16/in[0] +set_disable_timing sb_0__0_/mux_right_track_0/in[0] +set_disable_timing sb_0__0_/mux_top_track_0/in[1] +set_disable_timing sb_0__0_/mux_top_track_2/in[1] +set_disable_timing sb_0__0_/mux_top_track_4/in[1] +set_disable_timing sb_0__0_/mux_top_track_6/in[1] +set_disable_timing sb_0__0_/mux_top_track_8/in[1] +set_disable_timing sb_0__0_/mux_top_track_10/in[1] +set_disable_timing sb_0__0_/mux_top_track_12/in[1] +set_disable_timing sb_0__0_/mux_top_track_14/in[1] +set_disable_timing sb_0__0_/mux_top_track_16/in[1] +################################################## +# Disable timing for Switch block sb_0__1_ +################################################## +set_disable_timing sb_0__1_/chany_top_out[0] +set_disable_timing sb_0__1_/chany_top_in[0] +set_disable_timing sb_0__1_/chany_top_out[1] +set_disable_timing sb_0__1_/chany_top_in[1] +set_disable_timing sb_0__1_/chany_top_out[2] +set_disable_timing sb_0__1_/chany_top_in[2] +set_disable_timing sb_0__1_/chany_top_out[3] +set_disable_timing sb_0__1_/chany_top_in[3] +set_disable_timing sb_0__1_/chany_top_out[4] +set_disable_timing sb_0__1_/chany_top_in[4] +set_disable_timing sb_0__1_/chany_top_out[5] +set_disable_timing sb_0__1_/chany_top_in[5] +set_disable_timing sb_0__1_/chany_top_out[6] +set_disable_timing sb_0__1_/chany_top_in[6] +set_disable_timing sb_0__1_/chany_top_out[7] +set_disable_timing sb_0__1_/chany_top_in[7] +set_disable_timing sb_0__1_/chany_top_out[8] +set_disable_timing sb_0__1_/chany_top_in[8] +set_disable_timing sb_0__1_/chany_top_out[9] +set_disable_timing sb_0__1_/chany_top_in[9] +set_disable_timing sb_0__1_/chanx_right_out[0] +set_disable_timing sb_0__1_/chanx_right_in[0] +set_disable_timing sb_0__1_/chanx_right_out[1] +set_disable_timing sb_0__1_/chanx_right_in[1] +set_disable_timing sb_0__1_/chanx_right_out[2] +set_disable_timing sb_0__1_/chanx_right_in[2] +set_disable_timing sb_0__1_/chanx_right_out[3] +set_disable_timing sb_0__1_/chanx_right_in[3] +set_disable_timing sb_0__1_/chanx_right_out[4] +set_disable_timing sb_0__1_/chanx_right_in[4] +set_disable_timing sb_0__1_/chanx_right_out[5] +set_disable_timing sb_0__1_/chanx_right_in[5] +set_disable_timing sb_0__1_/chanx_right_out[6] +set_disable_timing sb_0__1_/chanx_right_in[6] +set_disable_timing sb_0__1_/chanx_right_out[7] +set_disable_timing sb_0__1_/chanx_right_in[7] +set_disable_timing sb_0__1_/chanx_right_out[8] +set_disable_timing sb_0__1_/chanx_right_in[8] +set_disable_timing sb_0__1_/chanx_right_out[9] +set_disable_timing sb_0__1_/chanx_right_in[9] +set_disable_timing sb_0__1_/chany_bottom_in[0] +set_disable_timing sb_0__1_/chany_bottom_out[0] +set_disable_timing sb_0__1_/chany_bottom_in[1] +set_disable_timing sb_0__1_/chany_bottom_out[1] +set_disable_timing sb_0__1_/chany_bottom_in[2] +set_disable_timing sb_0__1_/chany_bottom_out[2] +set_disable_timing sb_0__1_/chany_bottom_in[3] +set_disable_timing sb_0__1_/chany_bottom_out[3] +set_disable_timing sb_0__1_/chany_bottom_in[4] +set_disable_timing sb_0__1_/chany_bottom_out[4] +set_disable_timing sb_0__1_/chany_bottom_in[5] +set_disable_timing sb_0__1_/chany_bottom_out[5] +set_disable_timing sb_0__1_/chany_bottom_in[6] +set_disable_timing sb_0__1_/chany_bottom_out[6] +set_disable_timing sb_0__1_/chany_bottom_in[7] +set_disable_timing sb_0__1_/chany_bottom_out[7] +set_disable_timing sb_0__1_/chany_bottom_in[8] +set_disable_timing sb_0__1_/chany_bottom_out[8] +set_disable_timing sb_0__1_/chany_bottom_in[9] +set_disable_timing sb_0__1_/chany_bottom_out[9] +set_disable_timing sb_0__1_/top_left_grid_right_width_0_height_0_subtile_0__pin_inpad_0_[0] +set_disable_timing sb_0__1_/top_left_grid_right_width_0_height_0_subtile_1__pin_inpad_0_[0] +set_disable_timing sb_0__1_/top_left_grid_right_width_0_height_0_subtile_2__pin_inpad_0_[0] +set_disable_timing sb_0__1_/top_left_grid_right_width_0_height_0_subtile_3__pin_inpad_0_[0] +set_disable_timing sb_0__1_/top_left_grid_right_width_0_height_0_subtile_4__pin_inpad_0_[0] +set_disable_timing sb_0__1_/top_left_grid_right_width_0_height_0_subtile_5__pin_inpad_0_[0] +set_disable_timing sb_0__1_/top_left_grid_right_width_0_height_0_subtile_6__pin_inpad_0_[0] +set_disable_timing sb_0__1_/top_left_grid_right_width_0_height_0_subtile_7__pin_inpad_0_[0] +set_disable_timing sb_0__1_/top_right_grid_left_width_0_height_0_subtile_0__pin_O_1_[0] +set_disable_timing sb_0__1_/right_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_[0] +set_disable_timing sb_0__1_/right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_[0] +set_disable_timing sb_0__1_/bottom_right_grid_left_width_0_height_0_subtile_0__pin_O_1_[0] +set_disable_timing sb_0__1_/bottom_left_grid_right_width_0_height_0_subtile_0__pin_inpad_0_[0] +set_disable_timing sb_0__1_/bottom_left_grid_right_width_0_height_0_subtile_1__pin_inpad_0_[0] +set_disable_timing sb_0__1_/bottom_left_grid_right_width_0_height_0_subtile_2__pin_inpad_0_[0] +set_disable_timing sb_0__1_/bottom_left_grid_right_width_0_height_0_subtile_3__pin_inpad_0_[0] +set_disable_timing sb_0__1_/bottom_left_grid_right_width_0_height_0_subtile_4__pin_inpad_0_[0] +set_disable_timing sb_0__1_/bottom_left_grid_right_width_0_height_0_subtile_5__pin_inpad_0_[0] +set_disable_timing sb_0__1_/bottom_left_grid_right_width_0_height_0_subtile_6__pin_inpad_0_[0] +set_disable_timing sb_0__1_/bottom_left_grid_right_width_0_height_0_subtile_7__pin_inpad_0_[0] +set_disable_timing sb_0__1_/mux_top_track_0/in[0] +set_disable_timing sb_0__1_/mux_top_track_8/in[0] +set_disable_timing sb_0__1_/mux_top_track_16/in[0] +set_disable_timing sb_0__1_/mux_top_track_0/in[1] +set_disable_timing sb_0__1_/mux_top_track_8/in[1] +set_disable_timing sb_0__1_/mux_top_track_16/in[1] +set_disable_timing sb_0__1_/mux_top_track_0/in[2] +set_disable_timing sb_0__1_/mux_top_track_8/in[2] +set_disable_timing sb_0__1_/mux_top_track_16/in[2] +set_disable_timing sb_0__1_/mux_right_track_2/in[2] +set_disable_timing sb_0__1_/mux_bottom_track_1/in[6] +set_disable_timing sb_0__1_/mux_bottom_track_9/in[6] +set_disable_timing sb_0__1_/mux_bottom_track_17/in[5] +set_disable_timing sb_0__1_/mux_bottom_track_1/in[7] +set_disable_timing sb_0__1_/mux_bottom_track_9/in[7] +set_disable_timing sb_0__1_/mux_bottom_track_17/in[6] +set_disable_timing sb_0__1_/mux_bottom_track_1/in[8] +set_disable_timing sb_0__1_/mux_bottom_track_9/in[8] +set_disable_timing sb_0__1_/mux_bottom_track_17/in[7] +set_disable_timing sb_0__1_/mux_right_track_2/in[0] +set_disable_timing sb_0__1_/mux_bottom_track_1/in[0] +set_disable_timing sb_0__1_/mux_right_track_4/in[0] +set_disable_timing sb_0__1_/mux_bottom_track_9/in[0] +set_disable_timing sb_0__1_/mux_right_track_6/in[0] +set_disable_timing sb_0__1_/mux_bottom_track_17/in[0] +set_disable_timing sb_0__1_/mux_right_track_2/in[1] +set_disable_timing sb_0__1_/mux_right_track_8/in[0] +set_disable_timing sb_0__1_/mux_bottom_track_1/in[1] +set_disable_timing sb_0__1_/mux_right_track_10/in[0] +set_disable_timing sb_0__1_/mux_bottom_track_9/in[1] +set_disable_timing sb_0__1_/mux_right_track_12/in[0] +set_disable_timing sb_0__1_/mux_bottom_track_17/in[1] +set_disable_timing sb_0__1_/mux_right_track_4/in[1] +set_disable_timing sb_0__1_/mux_right_track_14/in[0] +set_disable_timing sb_0__1_/mux_bottom_track_1/in[2] +set_disable_timing sb_0__1_/mux_right_track_6/in[1] +set_disable_timing sb_0__1_/mux_top_track_16/in[3] +set_disable_timing sb_0__1_/mux_bottom_track_9/in[2] +set_disable_timing sb_0__1_/mux_top_track_0/in[3] +set_disable_timing sb_0__1_/mux_bottom_track_1/in[3] +set_disable_timing sb_0__1_/mux_top_track_8/in[3] +set_disable_timing sb_0__1_/mux_bottom_track_17/in[2] +set_disable_timing sb_0__1_/mux_top_track_16/in[4] +set_disable_timing sb_0__1_/mux_bottom_track_9/in[3] +set_disable_timing sb_0__1_/mux_top_track_0/in[4] +set_disable_timing sb_0__1_/mux_bottom_track_1/in[4] +set_disable_timing sb_0__1_/mux_top_track_8/in[4] +set_disable_timing sb_0__1_/mux_bottom_track_17/in[3] +set_disable_timing sb_0__1_/mux_top_track_16/in[5] +set_disable_timing sb_0__1_/mux_bottom_track_9/in[4] +set_disable_timing sb_0__1_/mux_top_track_0/in[5] +set_disable_timing sb_0__1_/mux_bottom_track_1/in[5] +set_disable_timing sb_0__1_/mux_top_track_8/in[5] +set_disable_timing sb_0__1_/mux_bottom_track_17/in[4] +set_disable_timing sb_0__1_/mux_top_track_16/in[6] +set_disable_timing sb_0__1_/mux_bottom_track_9/in[5] +set_disable_timing sb_0__1_/mux_top_track_0/in[6] +set_disable_timing sb_0__1_/mux_right_track_16/in[0] +set_disable_timing sb_0__1_/mux_top_track_8/in[6] +set_disable_timing sb_0__1_/mux_right_track_14/in[1] +set_disable_timing sb_0__1_/mux_top_track_16/in[7] +set_disable_timing sb_0__1_/mux_right_track_12/in[1] +set_disable_timing sb_0__1_/mux_right_track_16/in[1] +set_disable_timing sb_0__1_/mux_top_track_0/in[7] +set_disable_timing sb_0__1_/mux_right_track_10/in[1] +set_disable_timing sb_0__1_/mux_top_track_8/in[7] +set_disable_timing sb_0__1_/mux_right_track_8/in[1] +set_disable_timing sb_0__1_/mux_top_track_16/in[8] +set_disable_timing sb_0__1_/mux_right_track_6/in[2] +set_disable_timing sb_0__1_/mux_right_track_14/in[2] +set_disable_timing sb_0__1_/mux_top_track_0/in[8] +set_disable_timing sb_0__1_/mux_right_track_4/in[2] +set_disable_timing sb_0__1_/mux_right_track_12/in[2] +################################################## +# Disable timing for Switch block sb_0__1_ +################################################## +set_disable_timing sb_0__2_/chany_top_out[0] +set_disable_timing sb_0__2_/chany_top_in[0] +set_disable_timing sb_0__2_/chany_top_out[1] +set_disable_timing sb_0__2_/chany_top_in[1] +set_disable_timing sb_0__2_/chany_top_out[2] +set_disable_timing sb_0__2_/chany_top_in[2] +set_disable_timing sb_0__2_/chany_top_out[3] +set_disable_timing sb_0__2_/chany_top_in[3] +set_disable_timing sb_0__2_/chany_top_out[4] +set_disable_timing sb_0__2_/chany_top_in[4] +set_disable_timing sb_0__2_/chany_top_out[5] +set_disable_timing sb_0__2_/chany_top_in[5] +set_disable_timing sb_0__2_/chany_top_out[6] +set_disable_timing sb_0__2_/chany_top_in[6] +set_disable_timing sb_0__2_/chany_top_out[7] +set_disable_timing sb_0__2_/chany_top_in[7] +set_disable_timing sb_0__2_/chany_top_out[8] +set_disable_timing sb_0__2_/chany_top_in[8] +set_disable_timing sb_0__2_/chany_top_out[9] +set_disable_timing sb_0__2_/chany_top_in[9] +set_disable_timing sb_0__2_/chanx_right_out[0] +set_disable_timing sb_0__2_/chanx_right_in[0] +set_disable_timing sb_0__2_/chanx_right_out[1] +set_disable_timing sb_0__2_/chanx_right_in[1] +set_disable_timing sb_0__2_/chanx_right_out[2] +set_disable_timing sb_0__2_/chanx_right_in[2] +set_disable_timing sb_0__2_/chanx_right_out[3] +set_disable_timing sb_0__2_/chanx_right_in[3] +set_disable_timing sb_0__2_/chanx_right_out[4] +set_disable_timing sb_0__2_/chanx_right_in[4] +set_disable_timing sb_0__2_/chanx_right_out[5] +set_disable_timing sb_0__2_/chanx_right_in[5] +set_disable_timing sb_0__2_/chanx_right_out[6] +set_disable_timing sb_0__2_/chanx_right_in[6] +set_disable_timing sb_0__2_/chanx_right_out[7] +set_disable_timing sb_0__2_/chanx_right_in[7] +set_disable_timing sb_0__2_/chanx_right_out[8] +set_disable_timing sb_0__2_/chanx_right_in[8] +set_disable_timing sb_0__2_/chanx_right_out[9] +set_disable_timing sb_0__2_/chanx_right_in[9] +set_disable_timing sb_0__2_/chany_bottom_in[0] +set_disable_timing sb_0__2_/chany_bottom_out[0] +set_disable_timing sb_0__2_/chany_bottom_in[1] +set_disable_timing sb_0__2_/chany_bottom_out[1] +set_disable_timing sb_0__2_/chany_bottom_in[2] +set_disable_timing sb_0__2_/chany_bottom_out[2] +set_disable_timing sb_0__2_/chany_bottom_in[3] +set_disable_timing sb_0__2_/chany_bottom_out[3] +set_disable_timing sb_0__2_/chany_bottom_in[4] +set_disable_timing sb_0__2_/chany_bottom_out[4] +set_disable_timing sb_0__2_/chany_bottom_in[5] +set_disable_timing sb_0__2_/chany_bottom_out[5] +set_disable_timing sb_0__2_/chany_bottom_in[6] +set_disable_timing sb_0__2_/chany_bottom_out[6] +set_disable_timing sb_0__2_/chany_bottom_in[7] +set_disable_timing sb_0__2_/chany_bottom_out[7] +set_disable_timing sb_0__2_/chany_bottom_in[8] +set_disable_timing sb_0__2_/chany_bottom_out[8] +set_disable_timing sb_0__2_/chany_bottom_in[9] +set_disable_timing sb_0__2_/chany_bottom_out[9] +set_disable_timing sb_0__2_/top_left_grid_right_width_0_height_0_subtile_0__pin_inpad_0_[0] +set_disable_timing sb_0__2_/top_left_grid_right_width_0_height_0_subtile_1__pin_inpad_0_[0] +set_disable_timing sb_0__2_/top_left_grid_right_width_0_height_0_subtile_2__pin_inpad_0_[0] +set_disable_timing sb_0__2_/top_left_grid_right_width_0_height_0_subtile_3__pin_inpad_0_[0] +set_disable_timing sb_0__2_/top_left_grid_right_width_0_height_0_subtile_4__pin_inpad_0_[0] +set_disable_timing sb_0__2_/top_left_grid_right_width_0_height_0_subtile_5__pin_inpad_0_[0] +set_disable_timing sb_0__2_/top_left_grid_right_width_0_height_0_subtile_6__pin_inpad_0_[0] +set_disable_timing sb_0__2_/top_left_grid_right_width_0_height_0_subtile_7__pin_inpad_0_[0] +set_disable_timing sb_0__2_/top_right_grid_left_width_0_height_0_subtile_0__pin_O_1_[0] +set_disable_timing sb_0__2_/right_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_[0] +set_disable_timing sb_0__2_/right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_[0] +set_disable_timing sb_0__2_/bottom_right_grid_left_width_0_height_0_subtile_0__pin_O_1_[0] +set_disable_timing sb_0__2_/bottom_left_grid_right_width_0_height_0_subtile_0__pin_inpad_0_[0] +set_disable_timing sb_0__2_/bottom_left_grid_right_width_0_height_0_subtile_1__pin_inpad_0_[0] +set_disable_timing sb_0__2_/bottom_left_grid_right_width_0_height_0_subtile_2__pin_inpad_0_[0] +set_disable_timing sb_0__2_/bottom_left_grid_right_width_0_height_0_subtile_3__pin_inpad_0_[0] +set_disable_timing sb_0__2_/bottom_left_grid_right_width_0_height_0_subtile_4__pin_inpad_0_[0] +set_disable_timing sb_0__2_/bottom_left_grid_right_width_0_height_0_subtile_5__pin_inpad_0_[0] +set_disable_timing sb_0__2_/bottom_left_grid_right_width_0_height_0_subtile_6__pin_inpad_0_[0] +set_disable_timing sb_0__2_/bottom_left_grid_right_width_0_height_0_subtile_7__pin_inpad_0_[0] +set_disable_timing sb_0__2_/mux_top_track_0/in[0] +set_disable_timing sb_0__2_/mux_top_track_8/in[0] +set_disable_timing sb_0__2_/mux_top_track_16/in[0] +set_disable_timing sb_0__2_/mux_top_track_0/in[1] +set_disable_timing sb_0__2_/mux_top_track_8/in[1] +set_disable_timing sb_0__2_/mux_top_track_16/in[1] +set_disable_timing sb_0__2_/mux_top_track_0/in[2] +set_disable_timing sb_0__2_/mux_top_track_8/in[2] +set_disable_timing sb_0__2_/mux_top_track_16/in[2] +set_disable_timing sb_0__2_/mux_right_track_2/in[2] +set_disable_timing sb_0__2_/mux_bottom_track_1/in[6] +set_disable_timing sb_0__2_/mux_bottom_track_9/in[6] +set_disable_timing sb_0__2_/mux_bottom_track_17/in[5] +set_disable_timing sb_0__2_/mux_bottom_track_1/in[7] +set_disable_timing sb_0__2_/mux_bottom_track_9/in[7] +set_disable_timing sb_0__2_/mux_bottom_track_17/in[6] +set_disable_timing sb_0__2_/mux_bottom_track_1/in[8] +set_disable_timing sb_0__2_/mux_bottom_track_9/in[8] +set_disable_timing sb_0__2_/mux_bottom_track_17/in[7] +set_disable_timing sb_0__2_/mux_right_track_2/in[0] +set_disable_timing sb_0__2_/mux_bottom_track_1/in[0] +set_disable_timing sb_0__2_/mux_right_track_4/in[0] +set_disable_timing sb_0__2_/mux_bottom_track_9/in[0] +set_disable_timing sb_0__2_/mux_right_track_6/in[0] +set_disable_timing sb_0__2_/mux_bottom_track_17/in[0] +set_disable_timing sb_0__2_/mux_right_track_2/in[1] +set_disable_timing sb_0__2_/mux_right_track_8/in[0] +set_disable_timing sb_0__2_/mux_bottom_track_1/in[1] +set_disable_timing sb_0__2_/mux_right_track_10/in[0] +set_disable_timing sb_0__2_/mux_bottom_track_9/in[1] +set_disable_timing sb_0__2_/mux_right_track_12/in[0] +set_disable_timing sb_0__2_/mux_bottom_track_17/in[1] +set_disable_timing sb_0__2_/mux_right_track_4/in[1] +set_disable_timing sb_0__2_/mux_right_track_14/in[0] +set_disable_timing sb_0__2_/mux_bottom_track_1/in[2] +set_disable_timing sb_0__2_/mux_right_track_6/in[1] +set_disable_timing sb_0__2_/mux_top_track_16/in[3] +set_disable_timing sb_0__2_/mux_bottom_track_9/in[2] +set_disable_timing sb_0__2_/mux_top_track_0/in[3] +set_disable_timing sb_0__2_/mux_bottom_track_1/in[3] +set_disable_timing sb_0__2_/mux_top_track_8/in[3] +set_disable_timing sb_0__2_/mux_bottom_track_17/in[2] +set_disable_timing sb_0__2_/mux_top_track_16/in[4] +set_disable_timing sb_0__2_/mux_bottom_track_9/in[3] +set_disable_timing sb_0__2_/mux_top_track_0/in[4] +set_disable_timing sb_0__2_/mux_bottom_track_1/in[4] +set_disable_timing sb_0__2_/mux_top_track_8/in[4] +set_disable_timing sb_0__2_/mux_bottom_track_17/in[3] +set_disable_timing sb_0__2_/mux_top_track_16/in[5] +set_disable_timing sb_0__2_/mux_bottom_track_9/in[4] +set_disable_timing sb_0__2_/mux_top_track_0/in[5] +set_disable_timing sb_0__2_/mux_bottom_track_1/in[5] +set_disable_timing sb_0__2_/mux_top_track_8/in[5] +set_disable_timing sb_0__2_/mux_bottom_track_17/in[4] +set_disable_timing sb_0__2_/mux_top_track_16/in[6] +set_disable_timing sb_0__2_/mux_bottom_track_9/in[5] +set_disable_timing sb_0__2_/mux_top_track_0/in[6] +set_disable_timing sb_0__2_/mux_right_track_16/in[0] +set_disable_timing sb_0__2_/mux_top_track_8/in[6] +set_disable_timing sb_0__2_/mux_right_track_14/in[1] +set_disable_timing sb_0__2_/mux_top_track_16/in[7] +set_disable_timing sb_0__2_/mux_right_track_12/in[1] +set_disable_timing sb_0__2_/mux_right_track_16/in[1] +set_disable_timing sb_0__2_/mux_top_track_0/in[7] +set_disable_timing sb_0__2_/mux_right_track_10/in[1] +set_disable_timing sb_0__2_/mux_top_track_8/in[7] +set_disable_timing sb_0__2_/mux_right_track_8/in[1] +set_disable_timing sb_0__2_/mux_top_track_16/in[8] +set_disable_timing sb_0__2_/mux_right_track_6/in[2] +set_disable_timing sb_0__2_/mux_right_track_14/in[2] +set_disable_timing sb_0__2_/mux_top_track_0/in[8] +set_disable_timing sb_0__2_/mux_right_track_4/in[2] +set_disable_timing sb_0__2_/mux_right_track_12/in[2] +################################################## +# Disable timing for Switch block sb_0__1_ +################################################## +set_disable_timing sb_0__3_/chany_top_out[0] +set_disable_timing sb_0__3_/chany_top_in[0] +set_disable_timing sb_0__3_/chany_top_out[1] +set_disable_timing sb_0__3_/chany_top_in[1] +set_disable_timing sb_0__3_/chany_top_out[2] +set_disable_timing sb_0__3_/chany_top_in[2] +set_disable_timing sb_0__3_/chany_top_out[3] +set_disable_timing sb_0__3_/chany_top_in[3] +set_disable_timing sb_0__3_/chany_top_out[4] +set_disable_timing sb_0__3_/chany_top_in[4] +set_disable_timing sb_0__3_/chany_top_out[5] +set_disable_timing sb_0__3_/chany_top_in[5] +set_disable_timing sb_0__3_/chany_top_out[6] +set_disable_timing sb_0__3_/chany_top_in[6] +set_disable_timing sb_0__3_/chany_top_out[7] +set_disable_timing sb_0__3_/chany_top_in[7] +set_disable_timing sb_0__3_/chany_top_out[8] +set_disable_timing sb_0__3_/chany_top_in[8] +set_disable_timing sb_0__3_/chany_top_out[9] +set_disable_timing sb_0__3_/chany_top_in[9] +set_disable_timing sb_0__3_/chanx_right_out[0] +set_disable_timing sb_0__3_/chanx_right_in[0] +set_disable_timing sb_0__3_/chanx_right_out[1] +set_disable_timing sb_0__3_/chanx_right_in[1] +set_disable_timing sb_0__3_/chanx_right_out[2] +set_disable_timing sb_0__3_/chanx_right_in[2] +set_disable_timing sb_0__3_/chanx_right_out[3] +set_disable_timing sb_0__3_/chanx_right_in[3] +set_disable_timing sb_0__3_/chanx_right_out[4] +set_disable_timing sb_0__3_/chanx_right_in[4] +set_disable_timing sb_0__3_/chanx_right_out[5] +set_disable_timing sb_0__3_/chanx_right_in[5] +set_disable_timing sb_0__3_/chanx_right_out[6] +set_disable_timing sb_0__3_/chanx_right_in[6] +set_disable_timing sb_0__3_/chanx_right_out[7] +set_disable_timing sb_0__3_/chanx_right_in[7] +set_disable_timing sb_0__3_/chanx_right_out[8] +set_disable_timing sb_0__3_/chanx_right_in[8] +set_disable_timing sb_0__3_/chanx_right_out[9] +set_disable_timing sb_0__3_/chanx_right_in[9] +set_disable_timing sb_0__3_/chany_bottom_in[0] +set_disable_timing sb_0__3_/chany_bottom_out[0] +set_disable_timing sb_0__3_/chany_bottom_in[1] +set_disable_timing sb_0__3_/chany_bottom_out[1] +set_disable_timing sb_0__3_/chany_bottom_in[2] +set_disable_timing sb_0__3_/chany_bottom_out[2] +set_disable_timing sb_0__3_/chany_bottom_in[3] +set_disable_timing sb_0__3_/chany_bottom_out[3] +set_disable_timing sb_0__3_/chany_bottom_in[4] +set_disable_timing sb_0__3_/chany_bottom_out[4] +set_disable_timing sb_0__3_/chany_bottom_in[5] +set_disable_timing sb_0__3_/chany_bottom_out[5] +set_disable_timing sb_0__3_/chany_bottom_in[6] +set_disable_timing sb_0__3_/chany_bottom_out[6] +set_disable_timing sb_0__3_/chany_bottom_in[7] +set_disable_timing sb_0__3_/chany_bottom_out[7] +set_disable_timing sb_0__3_/chany_bottom_in[8] +set_disable_timing sb_0__3_/chany_bottom_out[8] +set_disable_timing sb_0__3_/chany_bottom_in[9] +set_disable_timing sb_0__3_/chany_bottom_out[9] +set_disable_timing sb_0__3_/top_left_grid_right_width_0_height_0_subtile_0__pin_inpad_0_[0] +set_disable_timing sb_0__3_/top_left_grid_right_width_0_height_0_subtile_1__pin_inpad_0_[0] +set_disable_timing sb_0__3_/top_left_grid_right_width_0_height_0_subtile_2__pin_inpad_0_[0] +set_disable_timing sb_0__3_/top_left_grid_right_width_0_height_0_subtile_3__pin_inpad_0_[0] +set_disable_timing sb_0__3_/top_left_grid_right_width_0_height_0_subtile_4__pin_inpad_0_[0] +set_disable_timing sb_0__3_/top_left_grid_right_width_0_height_0_subtile_5__pin_inpad_0_[0] +set_disable_timing sb_0__3_/top_left_grid_right_width_0_height_0_subtile_6__pin_inpad_0_[0] +set_disable_timing sb_0__3_/top_left_grid_right_width_0_height_0_subtile_7__pin_inpad_0_[0] +set_disable_timing sb_0__3_/top_right_grid_left_width_0_height_0_subtile_0__pin_O_1_[0] +set_disable_timing sb_0__3_/right_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_[0] +set_disable_timing sb_0__3_/right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_[0] +set_disable_timing sb_0__3_/bottom_right_grid_left_width_0_height_0_subtile_0__pin_O_1_[0] +set_disable_timing sb_0__3_/bottom_left_grid_right_width_0_height_0_subtile_0__pin_inpad_0_[0] +set_disable_timing sb_0__3_/bottom_left_grid_right_width_0_height_0_subtile_1__pin_inpad_0_[0] +set_disable_timing sb_0__3_/bottom_left_grid_right_width_0_height_0_subtile_2__pin_inpad_0_[0] +set_disable_timing sb_0__3_/bottom_left_grid_right_width_0_height_0_subtile_3__pin_inpad_0_[0] +set_disable_timing sb_0__3_/bottom_left_grid_right_width_0_height_0_subtile_4__pin_inpad_0_[0] +set_disable_timing sb_0__3_/bottom_left_grid_right_width_0_height_0_subtile_5__pin_inpad_0_[0] +set_disable_timing sb_0__3_/bottom_left_grid_right_width_0_height_0_subtile_6__pin_inpad_0_[0] +set_disable_timing sb_0__3_/bottom_left_grid_right_width_0_height_0_subtile_7__pin_inpad_0_[0] +set_disable_timing sb_0__3_/mux_top_track_0/in[0] +set_disable_timing sb_0__3_/mux_top_track_8/in[0] +set_disable_timing sb_0__3_/mux_top_track_16/in[0] +set_disable_timing sb_0__3_/mux_top_track_0/in[1] +set_disable_timing sb_0__3_/mux_top_track_8/in[1] +set_disable_timing sb_0__3_/mux_top_track_16/in[1] +set_disable_timing sb_0__3_/mux_top_track_0/in[2] +set_disable_timing sb_0__3_/mux_top_track_8/in[2] +set_disable_timing sb_0__3_/mux_top_track_16/in[2] +set_disable_timing sb_0__3_/mux_right_track_2/in[2] +set_disable_timing sb_0__3_/mux_bottom_track_1/in[6] +set_disable_timing sb_0__3_/mux_bottom_track_9/in[6] +set_disable_timing sb_0__3_/mux_bottom_track_17/in[5] +set_disable_timing sb_0__3_/mux_bottom_track_1/in[7] +set_disable_timing sb_0__3_/mux_bottom_track_9/in[7] +set_disable_timing sb_0__3_/mux_bottom_track_17/in[6] +set_disable_timing sb_0__3_/mux_bottom_track_1/in[8] +set_disable_timing sb_0__3_/mux_bottom_track_9/in[8] +set_disable_timing sb_0__3_/mux_bottom_track_17/in[7] +set_disable_timing sb_0__3_/mux_right_track_2/in[0] +set_disable_timing sb_0__3_/mux_bottom_track_1/in[0] +set_disable_timing sb_0__3_/mux_right_track_4/in[0] +set_disable_timing sb_0__3_/mux_bottom_track_9/in[0] +set_disable_timing sb_0__3_/mux_right_track_6/in[0] +set_disable_timing sb_0__3_/mux_bottom_track_17/in[0] +set_disable_timing sb_0__3_/mux_right_track_2/in[1] +set_disable_timing sb_0__3_/mux_right_track_8/in[0] +set_disable_timing sb_0__3_/mux_bottom_track_1/in[1] +set_disable_timing sb_0__3_/mux_right_track_10/in[0] +set_disable_timing sb_0__3_/mux_bottom_track_9/in[1] +set_disable_timing sb_0__3_/mux_right_track_12/in[0] +set_disable_timing sb_0__3_/mux_bottom_track_17/in[1] +set_disable_timing sb_0__3_/mux_right_track_4/in[1] +set_disable_timing sb_0__3_/mux_right_track_14/in[0] +set_disable_timing sb_0__3_/mux_bottom_track_1/in[2] +set_disable_timing sb_0__3_/mux_right_track_6/in[1] +set_disable_timing sb_0__3_/mux_top_track_16/in[3] +set_disable_timing sb_0__3_/mux_bottom_track_9/in[2] +set_disable_timing sb_0__3_/mux_top_track_0/in[3] +set_disable_timing sb_0__3_/mux_bottom_track_1/in[3] +set_disable_timing sb_0__3_/mux_top_track_8/in[3] +set_disable_timing sb_0__3_/mux_bottom_track_17/in[2] +set_disable_timing sb_0__3_/mux_top_track_16/in[4] +set_disable_timing sb_0__3_/mux_bottom_track_9/in[3] +set_disable_timing sb_0__3_/mux_top_track_0/in[4] +set_disable_timing sb_0__3_/mux_bottom_track_1/in[4] +set_disable_timing sb_0__3_/mux_top_track_8/in[4] +set_disable_timing sb_0__3_/mux_bottom_track_17/in[3] +set_disable_timing sb_0__3_/mux_top_track_16/in[5] +set_disable_timing sb_0__3_/mux_bottom_track_9/in[4] +set_disable_timing sb_0__3_/mux_top_track_0/in[5] +set_disable_timing sb_0__3_/mux_bottom_track_1/in[5] +set_disable_timing sb_0__3_/mux_top_track_8/in[5] +set_disable_timing sb_0__3_/mux_bottom_track_17/in[4] +set_disable_timing sb_0__3_/mux_top_track_16/in[6] +set_disable_timing sb_0__3_/mux_bottom_track_9/in[5] +set_disable_timing sb_0__3_/mux_top_track_0/in[6] +set_disable_timing sb_0__3_/mux_right_track_16/in[0] +set_disable_timing sb_0__3_/mux_top_track_8/in[6] +set_disable_timing sb_0__3_/mux_right_track_14/in[1] +set_disable_timing sb_0__3_/mux_top_track_16/in[7] +set_disable_timing sb_0__3_/mux_right_track_12/in[1] +set_disable_timing sb_0__3_/mux_right_track_16/in[1] +set_disable_timing sb_0__3_/mux_top_track_0/in[7] +set_disable_timing sb_0__3_/mux_right_track_10/in[1] +set_disable_timing sb_0__3_/mux_top_track_8/in[7] +set_disable_timing sb_0__3_/mux_right_track_8/in[1] +set_disable_timing sb_0__3_/mux_top_track_16/in[8] +set_disable_timing sb_0__3_/mux_right_track_6/in[2] +set_disable_timing sb_0__3_/mux_right_track_14/in[2] +set_disable_timing sb_0__3_/mux_top_track_0/in[8] +set_disable_timing sb_0__3_/mux_right_track_4/in[2] +set_disable_timing sb_0__3_/mux_right_track_12/in[2] +################################################## +# Disable timing for Switch block sb_0__4_ +################################################## +set_disable_timing sb_0__4_/chanx_right_out[0] +set_disable_timing sb_0__4_/chanx_right_in[0] +set_disable_timing sb_0__4_/chanx_right_out[1] +set_disable_timing sb_0__4_/chanx_right_in[1] +set_disable_timing sb_0__4_/chanx_right_out[2] +set_disable_timing sb_0__4_/chanx_right_in[2] +set_disable_timing sb_0__4_/chanx_right_out[3] +set_disable_timing sb_0__4_/chanx_right_in[3] +set_disable_timing sb_0__4_/chanx_right_out[4] +set_disable_timing sb_0__4_/chanx_right_in[4] +set_disable_timing sb_0__4_/chanx_right_out[5] +set_disable_timing sb_0__4_/chanx_right_in[5] +set_disable_timing sb_0__4_/chanx_right_out[6] +set_disable_timing sb_0__4_/chanx_right_in[6] +set_disable_timing sb_0__4_/chanx_right_out[7] +set_disable_timing sb_0__4_/chanx_right_in[7] +set_disable_timing sb_0__4_/chanx_right_out[8] +set_disable_timing sb_0__4_/chanx_right_in[8] +set_disable_timing sb_0__4_/chanx_right_out[9] +set_disable_timing sb_0__4_/chanx_right_in[9] +set_disable_timing sb_0__4_/chany_bottom_in[0] +set_disable_timing sb_0__4_/chany_bottom_out[0] +set_disable_timing sb_0__4_/chany_bottom_in[1] +set_disable_timing sb_0__4_/chany_bottom_out[1] +set_disable_timing sb_0__4_/chany_bottom_in[2] +set_disable_timing sb_0__4_/chany_bottom_out[2] +set_disable_timing sb_0__4_/chany_bottom_in[3] +set_disable_timing sb_0__4_/chany_bottom_out[3] +set_disable_timing sb_0__4_/chany_bottom_in[4] +set_disable_timing sb_0__4_/chany_bottom_out[4] +set_disable_timing sb_0__4_/chany_bottom_in[5] +set_disable_timing sb_0__4_/chany_bottom_out[5] +set_disable_timing sb_0__4_/chany_bottom_in[6] +set_disable_timing sb_0__4_/chany_bottom_out[6] +set_disable_timing sb_0__4_/chany_bottom_in[7] +set_disable_timing sb_0__4_/chany_bottom_out[7] +set_disable_timing sb_0__4_/chany_bottom_in[8] +set_disable_timing sb_0__4_/chany_bottom_out[8] +set_disable_timing sb_0__4_/chany_bottom_in[9] +set_disable_timing sb_0__4_/chany_bottom_out[9] +set_disable_timing sb_0__4_/right_top_grid_bottom_width_0_height_0_subtile_0__pin_inpad_0_[0] +set_disable_timing sb_0__4_/right_top_grid_bottom_width_0_height_0_subtile_1__pin_inpad_0_[0] +set_disable_timing sb_0__4_/right_top_grid_bottom_width_0_height_0_subtile_2__pin_inpad_0_[0] +set_disable_timing sb_0__4_/right_top_grid_bottom_width_0_height_0_subtile_3__pin_inpad_0_[0] +set_disable_timing sb_0__4_/right_top_grid_bottom_width_0_height_0_subtile_4__pin_inpad_0_[0] +set_disable_timing sb_0__4_/right_top_grid_bottom_width_0_height_0_subtile_5__pin_inpad_0_[0] +set_disable_timing sb_0__4_/right_top_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_[0] +set_disable_timing sb_0__4_/right_top_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_[0] +set_disable_timing sb_0__4_/right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_[0] +set_disable_timing sb_0__4_/bottom_right_grid_left_width_0_height_0_subtile_0__pin_O_1_[0] +set_disable_timing sb_0__4_/bottom_left_grid_right_width_0_height_0_subtile_0__pin_inpad_0_[0] +set_disable_timing sb_0__4_/bottom_left_grid_right_width_0_height_0_subtile_1__pin_inpad_0_[0] +set_disable_timing sb_0__4_/bottom_left_grid_right_width_0_height_0_subtile_2__pin_inpad_0_[0] +set_disable_timing sb_0__4_/bottom_left_grid_right_width_0_height_0_subtile_3__pin_inpad_0_[0] +set_disable_timing sb_0__4_/bottom_left_grid_right_width_0_height_0_subtile_4__pin_inpad_0_[0] +set_disable_timing sb_0__4_/bottom_left_grid_right_width_0_height_0_subtile_5__pin_inpad_0_[0] +set_disable_timing sb_0__4_/bottom_left_grid_right_width_0_height_0_subtile_6__pin_inpad_0_[0] +set_disable_timing sb_0__4_/bottom_left_grid_right_width_0_height_0_subtile_7__pin_inpad_0_[0] +set_disable_timing sb_0__4_/mux_right_track_0/in[0] +set_disable_timing sb_0__4_/mux_right_track_2/in[0] +set_disable_timing sb_0__4_/mux_right_track_4/in[0] +set_disable_timing sb_0__4_/mux_right_track_6/in[0] +set_disable_timing sb_0__4_/mux_right_track_8/in[0] +set_disable_timing sb_0__4_/mux_right_track_10/in[0] +set_disable_timing sb_0__4_/mux_right_track_12/in[0] +set_disable_timing sb_0__4_/mux_right_track_14/in[0] +set_disable_timing sb_0__4_/mux_right_track_16/in[0] +set_disable_timing sb_0__4_/mux_bottom_track_1/in[1] +set_disable_timing sb_0__4_/mux_bottom_track_3/in[1] +set_disable_timing sb_0__4_/mux_bottom_track_5/in[1] +set_disable_timing sb_0__4_/mux_bottom_track_7/in[1] +set_disable_timing sb_0__4_/mux_bottom_track_9/in[1] +set_disable_timing sb_0__4_/mux_bottom_track_11/in[1] +set_disable_timing sb_0__4_/mux_bottom_track_13/in[1] +set_disable_timing sb_0__4_/mux_bottom_track_15/in[1] +set_disable_timing sb_0__4_/mux_bottom_track_17/in[1] +set_disable_timing sb_0__4_/mux_bottom_track_17/in[0] +set_disable_timing sb_0__4_/mux_bottom_track_15/in[0] +set_disable_timing sb_0__4_/mux_bottom_track_13/in[0] +set_disable_timing sb_0__4_/mux_bottom_track_11/in[0] +set_disable_timing sb_0__4_/mux_bottom_track_9/in[0] +set_disable_timing sb_0__4_/mux_bottom_track_7/in[0] +set_disable_timing sb_0__4_/mux_bottom_track_5/in[0] +set_disable_timing sb_0__4_/mux_bottom_track_3/in[0] +set_disable_timing sb_0__4_/mux_bottom_track_1/in[0] +set_disable_timing sb_0__4_/mux_right_track_16/in[1] +set_disable_timing sb_0__4_/mux_right_track_14/in[1] +set_disable_timing sb_0__4_/mux_right_track_12/in[1] +set_disable_timing sb_0__4_/mux_right_track_10/in[1] +set_disable_timing sb_0__4_/mux_right_track_8/in[1] +set_disable_timing sb_0__4_/mux_right_track_6/in[1] +set_disable_timing sb_0__4_/mux_right_track_4/in[1] +set_disable_timing sb_0__4_/mux_right_track_2/in[1] +set_disable_timing sb_0__4_/mux_right_track_0/in[1] +################################################## +# Disable timing for Switch block sb_1__0_ +################################################## +set_disable_timing sb_1__0_/chany_top_out[0] +set_disable_timing sb_1__0_/chany_top_in[0] +set_disable_timing sb_1__0_/chany_top_out[1] +set_disable_timing sb_1__0_/chany_top_in[1] +set_disable_timing sb_1__0_/chany_top_out[2] +set_disable_timing sb_1__0_/chany_top_in[2] +set_disable_timing sb_1__0_/chany_top_out[3] +set_disable_timing sb_1__0_/chany_top_in[3] +set_disable_timing sb_1__0_/chany_top_out[4] +set_disable_timing sb_1__0_/chany_top_in[4] +set_disable_timing sb_1__0_/chany_top_out[5] +set_disable_timing sb_1__0_/chany_top_in[5] +set_disable_timing sb_1__0_/chany_top_out[6] +set_disable_timing sb_1__0_/chany_top_in[6] +set_disable_timing sb_1__0_/chany_top_out[7] +set_disable_timing sb_1__0_/chany_top_in[7] +set_disable_timing sb_1__0_/chany_top_out[8] +set_disable_timing sb_1__0_/chany_top_in[8] +set_disable_timing sb_1__0_/chany_top_out[9] +set_disable_timing sb_1__0_/chany_top_in[9] +set_disable_timing sb_1__0_/chanx_right_out[0] +set_disable_timing sb_1__0_/chanx_right_in[0] +set_disable_timing sb_1__0_/chanx_right_out[1] +set_disable_timing sb_1__0_/chanx_right_in[1] +set_disable_timing sb_1__0_/chanx_right_out[2] +set_disable_timing sb_1__0_/chanx_right_in[2] +set_disable_timing sb_1__0_/chanx_right_out[3] +set_disable_timing sb_1__0_/chanx_right_in[3] +set_disable_timing sb_1__0_/chanx_right_out[4] +set_disable_timing sb_1__0_/chanx_right_in[4] +set_disable_timing sb_1__0_/chanx_right_out[5] +set_disable_timing sb_1__0_/chanx_right_in[5] +set_disable_timing sb_1__0_/chanx_right_out[6] +set_disable_timing sb_1__0_/chanx_right_in[6] +set_disable_timing sb_1__0_/chanx_right_out[7] +set_disable_timing sb_1__0_/chanx_right_in[7] +set_disable_timing sb_1__0_/chanx_right_out[8] +set_disable_timing sb_1__0_/chanx_right_in[8] +set_disable_timing sb_1__0_/chanx_right_out[9] +set_disable_timing sb_1__0_/chanx_right_in[9] +set_disable_timing sb_1__0_/chanx_left_in[0] +set_disable_timing sb_1__0_/chanx_left_out[0] +set_disable_timing sb_1__0_/chanx_left_in[1] +set_disable_timing sb_1__0_/chanx_left_out[1] +set_disable_timing sb_1__0_/chanx_left_in[2] +set_disable_timing sb_1__0_/chanx_left_out[2] +set_disable_timing sb_1__0_/chanx_left_in[3] +set_disable_timing sb_1__0_/chanx_left_out[3] +set_disable_timing sb_1__0_/chanx_left_in[4] +set_disable_timing sb_1__0_/chanx_left_out[4] +set_disable_timing sb_1__0_/chanx_left_in[5] +set_disable_timing sb_1__0_/chanx_left_out[5] +set_disable_timing sb_1__0_/chanx_left_in[6] +set_disable_timing sb_1__0_/chanx_left_out[6] +set_disable_timing sb_1__0_/chanx_left_in[7] +set_disable_timing sb_1__0_/chanx_left_out[7] +set_disable_timing sb_1__0_/chanx_left_in[8] +set_disable_timing sb_1__0_/chanx_left_out[8] +set_disable_timing sb_1__0_/chanx_left_in[9] +set_disable_timing sb_1__0_/chanx_left_out[9] +set_disable_timing sb_1__0_/top_left_grid_right_width_0_height_0_subtile_0__pin_O_3_[0] +set_disable_timing sb_1__0_/top_right_grid_left_width_0_height_0_subtile_0__pin_O_1_[0] +set_disable_timing sb_1__0_/right_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_[0] +set_disable_timing sb_1__0_/right_bottom_grid_top_width_0_height_0_subtile_0__pin_inpad_0_[0] +set_disable_timing sb_1__0_/right_bottom_grid_top_width_0_height_0_subtile_1__pin_inpad_0_[0] +set_disable_timing sb_1__0_/right_bottom_grid_top_width_0_height_0_subtile_2__pin_inpad_0_[0] +set_disable_timing sb_1__0_/right_bottom_grid_top_width_0_height_0_subtile_3__pin_inpad_0_[0] +set_disable_timing sb_1__0_/right_bottom_grid_top_width_0_height_0_subtile_4__pin_inpad_0_[0] +set_disable_timing sb_1__0_/right_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_[0] +set_disable_timing sb_1__0_/right_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_[0] +set_disable_timing sb_1__0_/right_bottom_grid_top_width_0_height_0_subtile_7__pin_inpad_0_[0] +set_disable_timing sb_1__0_/left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_[0] +set_disable_timing sb_1__0_/left_bottom_grid_top_width_0_height_0_subtile_0__pin_inpad_0_[0] +set_disable_timing sb_1__0_/left_bottom_grid_top_width_0_height_0_subtile_1__pin_inpad_0_[0] +set_disable_timing sb_1__0_/left_bottom_grid_top_width_0_height_0_subtile_2__pin_inpad_0_[0] +set_disable_timing sb_1__0_/left_bottom_grid_top_width_0_height_0_subtile_3__pin_inpad_0_[0] +set_disable_timing sb_1__0_/left_bottom_grid_top_width_0_height_0_subtile_4__pin_inpad_0_[0] +set_disable_timing sb_1__0_/left_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_[0] +set_disable_timing sb_1__0_/left_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_[0] +set_disable_timing sb_1__0_/left_bottom_grid_top_width_0_height_0_subtile_7__pin_inpad_0_[0] +set_disable_timing sb_1__0_/mux_top_track_0/in[0] +set_disable_timing sb_1__0_/mux_top_track_2/in[0] +set_disable_timing sb_1__0_/mux_right_track_0/in[3] +set_disable_timing sb_1__0_/mux_right_track_8/in[4] +set_disable_timing sb_1__0_/mux_right_track_16/in[3] +set_disable_timing sb_1__0_/mux_right_track_0/in[4] +set_disable_timing sb_1__0_/mux_right_track_8/in[5] +set_disable_timing sb_1__0_/mux_right_track_16/in[4] +set_disable_timing sb_1__0_/mux_right_track_0/in[5] +set_disable_timing sb_1__0_/mux_right_track_8/in[6] +set_disable_timing sb_1__0_/mux_right_track_16/in[5] +set_disable_timing sb_1__0_/mux_left_track_1/in[7] +set_disable_timing sb_1__0_/mux_left_track_9/in[5] +set_disable_timing sb_1__0_/mux_left_track_17/in[5] +set_disable_timing sb_1__0_/mux_left_track_1/in[8] +set_disable_timing sb_1__0_/mux_left_track_9/in[6] +set_disable_timing sb_1__0_/mux_left_track_17/in[6] +set_disable_timing sb_1__0_/mux_left_track_1/in[9] +set_disable_timing sb_1__0_/mux_left_track_9/in[7] +set_disable_timing sb_1__0_/mux_left_track_17/in[7] +set_disable_timing sb_1__0_/mux_right_track_8/in[0] +set_disable_timing sb_1__0_/mux_left_track_1/in[0] +set_disable_timing sb_1__0_/mux_right_track_16/in[0] +set_disable_timing sb_1__0_/mux_left_track_17/in[0] +set_disable_timing sb_1__0_/mux_right_track_0/in[0] +set_disable_timing sb_1__0_/mux_left_track_9/in[0] +set_disable_timing sb_1__0_/mux_right_track_8/in[1] +set_disable_timing sb_1__0_/mux_left_track_1/in[1] +set_disable_timing sb_1__0_/mux_right_track_16/in[1] +set_disable_timing sb_1__0_/mux_left_track_17/in[1] +set_disable_timing sb_1__0_/mux_right_track_0/in[1] +set_disable_timing sb_1__0_/mux_left_track_9/in[1] +set_disable_timing sb_1__0_/mux_right_track_8/in[2] +set_disable_timing sb_1__0_/mux_left_track_1/in[2] +set_disable_timing sb_1__0_/mux_right_track_16/in[2] +set_disable_timing sb_1__0_/mux_left_track_17/in[2] +set_disable_timing sb_1__0_/mux_right_track_0/in[2] +set_disable_timing sb_1__0_/mux_left_track_9/in[2] +set_disable_timing sb_1__0_/mux_right_track_8/in[3] +set_disable_timing sb_1__0_/mux_left_track_1/in[3] +set_disable_timing sb_1__0_/mux_top_track_18/in[0] +set_disable_timing sb_1__0_/mux_left_track_1/in[4] +set_disable_timing sb_1__0_/mux_top_track_0/in[1] +set_disable_timing sb_1__0_/mux_left_track_9/in[3] +set_disable_timing sb_1__0_/mux_top_track_2/in[1] +set_disable_timing sb_1__0_/mux_left_track_17/in[3] +set_disable_timing sb_1__0_/mux_top_track_18/in[1] +set_disable_timing sb_1__0_/mux_left_track_1/in[5] +set_disable_timing sb_1__0_/mux_left_track_9/in[4] +set_disable_timing sb_1__0_/mux_top_track_8/in[0] +set_disable_timing sb_1__0_/mux_left_track_17/in[4] +set_disable_timing sb_1__0_/mux_top_track_0/in[2] +set_disable_timing sb_1__0_/mux_top_track_10/in[0] +set_disable_timing sb_1__0_/mux_left_track_1/in[6] +set_disable_timing sb_1__0_/mux_top_track_2/in[2] +set_disable_timing sb_1__0_/mux_top_track_0/in[3] +set_disable_timing sb_1__0_/mux_right_track_0/in[6] +set_disable_timing sb_1__0_/mux_top_track_18/in[2] +set_disable_timing sb_1__0_/mux_right_track_8/in[7] +set_disable_timing sb_1__0_/mux_top_track_16/in[0] +set_disable_timing sb_1__0_/mux_right_track_16/in[6] +set_disable_timing sb_1__0_/mux_top_track_0/in[4] +set_disable_timing sb_1__0_/mux_right_track_0/in[7] +set_disable_timing sb_1__0_/mux_right_track_8/in[8] +set_disable_timing sb_1__0_/mux_top_track_10/in[1] +set_disable_timing sb_1__0_/mux_right_track_16/in[7] +set_disable_timing sb_1__0_/mux_top_track_18/in[3] +set_disable_timing sb_1__0_/mux_top_track_8/in[1] +set_disable_timing sb_1__0_/mux_right_track_0/in[8] +set_disable_timing sb_1__0_/mux_top_track_16/in[1] +################################################## +# Disable timing for Switch block sb_1__1_ +################################################## +set_disable_timing sb_1__1_/chany_top_out[0] +set_disable_timing sb_1__1_/chany_top_in[0] +set_disable_timing sb_1__1_/chany_top_out[1] +set_disable_timing sb_1__1_/chany_top_in[1] +set_disable_timing sb_1__1_/chany_top_out[2] +set_disable_timing sb_1__1_/chany_top_in[2] +set_disable_timing sb_1__1_/chany_top_out[3] +set_disable_timing sb_1__1_/chany_top_in[3] +set_disable_timing sb_1__1_/chany_top_out[4] +set_disable_timing sb_1__1_/chany_top_in[4] +set_disable_timing sb_1__1_/chany_top_out[5] +set_disable_timing sb_1__1_/chany_top_in[5] +set_disable_timing sb_1__1_/chany_top_out[6] +set_disable_timing sb_1__1_/chany_top_in[6] +set_disable_timing sb_1__1_/chany_top_out[7] +set_disable_timing sb_1__1_/chany_top_in[7] +set_disable_timing sb_1__1_/chany_top_out[8] +set_disable_timing sb_1__1_/chany_top_in[8] +set_disable_timing sb_1__1_/chany_top_out[9] +set_disable_timing sb_1__1_/chany_top_in[9] +set_disable_timing sb_1__1_/chanx_right_out[0] +set_disable_timing sb_1__1_/chanx_right_in[0] +set_disable_timing sb_1__1_/chanx_right_out[1] +set_disable_timing sb_1__1_/chanx_right_in[1] +set_disable_timing sb_1__1_/chanx_right_out[2] +set_disable_timing sb_1__1_/chanx_right_in[2] +set_disable_timing sb_1__1_/chanx_right_out[3] +set_disable_timing sb_1__1_/chanx_right_in[3] +set_disable_timing sb_1__1_/chanx_right_out[4] +set_disable_timing sb_1__1_/chanx_right_in[4] +set_disable_timing sb_1__1_/chanx_right_out[5] +set_disable_timing sb_1__1_/chanx_right_in[5] +set_disable_timing sb_1__1_/chanx_right_out[6] +set_disable_timing sb_1__1_/chanx_right_in[6] +set_disable_timing sb_1__1_/chanx_right_out[7] +set_disable_timing sb_1__1_/chanx_right_in[7] +set_disable_timing sb_1__1_/chanx_right_out[8] +set_disable_timing sb_1__1_/chanx_right_in[8] +set_disable_timing sb_1__1_/chanx_right_out[9] +set_disable_timing sb_1__1_/chanx_right_in[9] +set_disable_timing sb_1__1_/chany_bottom_in[0] +set_disable_timing sb_1__1_/chany_bottom_out[0] +set_disable_timing sb_1__1_/chany_bottom_in[1] +set_disable_timing sb_1__1_/chany_bottom_out[1] +set_disable_timing sb_1__1_/chany_bottom_in[2] +set_disable_timing sb_1__1_/chany_bottom_out[2] +set_disable_timing sb_1__1_/chany_bottom_in[3] +set_disable_timing sb_1__1_/chany_bottom_out[3] +set_disable_timing sb_1__1_/chany_bottom_in[4] +set_disable_timing sb_1__1_/chany_bottom_out[4] +set_disable_timing sb_1__1_/chany_bottom_in[5] +set_disable_timing sb_1__1_/chany_bottom_out[5] +set_disable_timing sb_1__1_/chany_bottom_in[6] +set_disable_timing sb_1__1_/chany_bottom_out[6] +set_disable_timing sb_1__1_/chany_bottom_in[7] +set_disable_timing sb_1__1_/chany_bottom_out[7] +set_disable_timing sb_1__1_/chany_bottom_in[8] +set_disable_timing sb_1__1_/chany_bottom_out[8] +set_disable_timing sb_1__1_/chany_bottom_in[9] +set_disable_timing sb_1__1_/chany_bottom_out[9] +set_disable_timing sb_1__1_/chanx_left_in[0] +set_disable_timing sb_1__1_/chanx_left_out[0] +set_disable_timing sb_1__1_/chanx_left_in[1] +set_disable_timing sb_1__1_/chanx_left_out[1] +set_disable_timing sb_1__1_/chanx_left_in[2] +set_disable_timing sb_1__1_/chanx_left_out[2] +set_disable_timing sb_1__1_/chanx_left_in[3] +set_disable_timing sb_1__1_/chanx_left_out[3] +set_disable_timing sb_1__1_/chanx_left_in[4] +set_disable_timing sb_1__1_/chanx_left_out[4] +set_disable_timing sb_1__1_/chanx_left_in[5] +set_disable_timing sb_1__1_/chanx_left_out[5] +set_disable_timing sb_1__1_/chanx_left_in[6] +set_disable_timing sb_1__1_/chanx_left_out[6] +set_disable_timing sb_1__1_/chanx_left_in[7] +set_disable_timing sb_1__1_/chanx_left_out[7] +set_disable_timing sb_1__1_/chanx_left_in[8] +set_disable_timing sb_1__1_/chanx_left_out[8] +set_disable_timing sb_1__1_/chanx_left_in[9] +set_disable_timing sb_1__1_/chanx_left_out[9] +set_disable_timing sb_1__1_/top_left_grid_right_width_0_height_0_subtile_0__pin_O_3_[0] +set_disable_timing sb_1__1_/top_right_grid_left_width_0_height_0_subtile_0__pin_O_1_[0] +set_disable_timing sb_1__1_/right_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_[0] +set_disable_timing sb_1__1_/right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_[0] +set_disable_timing sb_1__1_/bottom_right_grid_left_width_0_height_0_subtile_0__pin_O_1_[0] +set_disable_timing sb_1__1_/bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_3_[0] +set_disable_timing sb_1__1_/left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_[0] +set_disable_timing sb_1__1_/left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_[0] +set_disable_timing sb_1__1_/mux_top_track_0/in[0] +set_disable_timing sb_1__1_/mux_top_track_8/in[0] +set_disable_timing sb_1__1_/mux_right_track_0/in[3] +set_disable_timing sb_1__1_/mux_right_track_8/in[4] +set_disable_timing sb_1__1_/mux_bottom_track_1/in[6] +set_disable_timing sb_1__1_/mux_bottom_track_9/in[6] +set_disable_timing sb_1__1_/mux_left_track_1/in[10] +set_disable_timing sb_1__1_/mux_left_track_9/in[9] +set_disable_timing sb_1__1_/mux_right_track_8/in[0] +set_disable_timing sb_1__1_/mux_bottom_track_1/in[0] +set_disable_timing sb_1__1_/mux_left_track_1/in[0] +set_disable_timing sb_1__1_/mux_right_track_16/in[0] +set_disable_timing sb_1__1_/mux_bottom_track_9/in[0] +set_disable_timing sb_1__1_/mux_left_track_17/in[0] +set_disable_timing sb_1__1_/mux_right_track_0/in[0] +set_disable_timing sb_1__1_/mux_bottom_track_17/in[0] +set_disable_timing sb_1__1_/mux_left_track_9/in[0] +set_disable_timing sb_1__1_/mux_right_track_8/in[1] +set_disable_timing sb_1__1_/mux_left_track_1/in[1] +set_disable_timing sb_1__1_/mux_right_track_8/in[2] +set_disable_timing sb_1__1_/mux_bottom_track_1/in[1] +set_disable_timing sb_1__1_/mux_left_track_1/in[2] +set_disable_timing sb_1__1_/mux_right_track_16/in[1] +set_disable_timing sb_1__1_/mux_bottom_track_9/in[1] +set_disable_timing sb_1__1_/mux_left_track_17/in[1] +set_disable_timing sb_1__1_/mux_right_track_0/in[1] +set_disable_timing sb_1__1_/mux_bottom_track_17/in[1] +set_disable_timing sb_1__1_/mux_left_track_9/in[1] +set_disable_timing sb_1__1_/mux_right_track_16/in[2] +set_disable_timing sb_1__1_/mux_left_track_17/in[2] +set_disable_timing sb_1__1_/mux_right_track_8/in[3] +set_disable_timing sb_1__1_/mux_bottom_track_1/in[2] +set_disable_timing sb_1__1_/mux_left_track_1/in[3] +set_disable_timing sb_1__1_/mux_right_track_0/in[2] +set_disable_timing sb_1__1_/mux_left_track_9/in[2] +set_disable_timing sb_1__1_/mux_top_track_16/in[0] +set_disable_timing sb_1__1_/mux_bottom_track_9/in[2] +set_disable_timing sb_1__1_/mux_left_track_1/in[4] +set_disable_timing sb_1__1_/mux_top_track_0/in[1] +set_disable_timing sb_1__1_/mux_bottom_track_1/in[3] +set_disable_timing sb_1__1_/mux_left_track_9/in[3] +set_disable_timing sb_1__1_/mux_top_track_8/in[1] +set_disable_timing sb_1__1_/mux_bottom_track_17/in[2] +set_disable_timing sb_1__1_/mux_left_track_17/in[3] +set_disable_timing sb_1__1_/mux_top_track_16/in[1] +set_disable_timing sb_1__1_/mux_bottom_track_9/in[3] +set_disable_timing sb_1__1_/mux_top_track_16/in[2] +set_disable_timing sb_1__1_/mux_bottom_track_9/in[4] +set_disable_timing sb_1__1_/mux_left_track_1/in[5] +set_disable_timing sb_1__1_/mux_top_track_0/in[2] +set_disable_timing sb_1__1_/mux_bottom_track_1/in[4] +set_disable_timing sb_1__1_/mux_left_track_9/in[4] +set_disable_timing sb_1__1_/mux_top_track_8/in[2] +set_disable_timing sb_1__1_/mux_bottom_track_17/in[3] +set_disable_timing sb_1__1_/mux_left_track_17/in[4] +set_disable_timing sb_1__1_/mux_top_track_0/in[3] +set_disable_timing sb_1__1_/mux_bottom_track_1/in[5] +set_disable_timing sb_1__1_/mux_top_track_16/in[3] +set_disable_timing sb_1__1_/mux_bottom_track_9/in[5] +set_disable_timing sb_1__1_/mux_left_track_1/in[6] +set_disable_timing sb_1__1_/mux_top_track_8/in[3] +set_disable_timing sb_1__1_/mux_bottom_track_17/in[4] +set_disable_timing sb_1__1_/mux_top_track_0/in[4] +set_disable_timing sb_1__1_/mux_right_track_8/in[5] +set_disable_timing sb_1__1_/mux_left_track_9/in[5] +set_disable_timing sb_1__1_/mux_top_track_8/in[4] +set_disable_timing sb_1__1_/mux_right_track_0/in[4] +set_disable_timing sb_1__1_/mux_left_track_17/in[5] +set_disable_timing sb_1__1_/mux_top_track_16/in[4] +set_disable_timing sb_1__1_/mux_right_track_16/in[3] +set_disable_timing sb_1__1_/mux_left_track_1/in[7] +set_disable_timing sb_1__1_/mux_right_track_8/in[6] +set_disable_timing sb_1__1_/mux_left_track_9/in[6] +set_disable_timing sb_1__1_/mux_top_track_0/in[5] +set_disable_timing sb_1__1_/mux_right_track_8/in[7] +set_disable_timing sb_1__1_/mux_left_track_9/in[7] +set_disable_timing sb_1__1_/mux_top_track_8/in[5] +set_disable_timing sb_1__1_/mux_right_track_0/in[5] +set_disable_timing sb_1__1_/mux_left_track_17/in[6] +set_disable_timing sb_1__1_/mux_top_track_16/in[5] +set_disable_timing sb_1__1_/mux_right_track_16/in[4] +set_disable_timing sb_1__1_/mux_left_track_1/in[8] +set_disable_timing sb_1__1_/mux_right_track_0/in[6] +set_disable_timing sb_1__1_/mux_left_track_17/in[7] +set_disable_timing sb_1__1_/mux_top_track_0/in[6] +set_disable_timing sb_1__1_/mux_right_track_8/in[8] +set_disable_timing sb_1__1_/mux_left_track_9/in[8] +set_disable_timing sb_1__1_/mux_right_track_16/in[5] +set_disable_timing sb_1__1_/mux_left_track_1/in[9] +set_disable_timing sb_1__1_/mux_top_track_0/in[7] +set_disable_timing sb_1__1_/mux_right_track_0/in[7] +set_disable_timing sb_1__1_/mux_bottom_track_17/in[5] +set_disable_timing sb_1__1_/mux_top_track_16/in[6] +set_disable_timing sb_1__1_/mux_right_track_8/in[9] +set_disable_timing sb_1__1_/mux_bottom_track_1/in[7] +set_disable_timing sb_1__1_/mux_top_track_8/in[6] +set_disable_timing sb_1__1_/mux_right_track_16/in[6] +set_disable_timing sb_1__1_/mux_bottom_track_9/in[7] +set_disable_timing sb_1__1_/mux_top_track_0/in[8] +set_disable_timing sb_1__1_/mux_bottom_track_17/in[6] +set_disable_timing sb_1__1_/mux_top_track_0/in[9] +set_disable_timing sb_1__1_/mux_right_track_0/in[8] +set_disable_timing sb_1__1_/mux_bottom_track_17/in[7] +set_disable_timing sb_1__1_/mux_top_track_16/in[7] +set_disable_timing sb_1__1_/mux_right_track_8/in[10] +set_disable_timing sb_1__1_/mux_bottom_track_1/in[8] +set_disable_timing sb_1__1_/mux_top_track_8/in[7] +set_disable_timing sb_1__1_/mux_right_track_16/in[7] +set_disable_timing sb_1__1_/mux_bottom_track_9/in[8] +set_disable_timing sb_1__1_/mux_top_track_16/in[8] +set_disable_timing sb_1__1_/mux_bottom_track_1/in[9] +set_disable_timing sb_1__1_/mux_top_track_0/in[10] +set_disable_timing sb_1__1_/mux_right_track_0/in[9] +set_disable_timing sb_1__1_/mux_bottom_track_17/in[8] +set_disable_timing sb_1__1_/mux_top_track_8/in[8] +set_disable_timing sb_1__1_/mux_bottom_track_9/in[9] +################################################## +# Disable timing for Switch block sb_1__1_ +################################################## +set_disable_timing sb_1__2_/chany_top_out[0] +set_disable_timing sb_1__2_/chany_top_in[0] +set_disable_timing sb_1__2_/chany_top_out[1] +set_disable_timing sb_1__2_/chany_top_in[1] +set_disable_timing sb_1__2_/chany_top_out[2] +set_disable_timing sb_1__2_/chany_top_in[2] +set_disable_timing sb_1__2_/chany_top_out[3] +set_disable_timing sb_1__2_/chany_top_in[3] +set_disable_timing sb_1__2_/chany_top_out[4] +set_disable_timing sb_1__2_/chany_top_in[4] +set_disable_timing sb_1__2_/chany_top_out[5] +set_disable_timing sb_1__2_/chany_top_in[5] +set_disable_timing sb_1__2_/chany_top_out[6] +set_disable_timing sb_1__2_/chany_top_in[6] +set_disable_timing sb_1__2_/chany_top_out[7] +set_disable_timing sb_1__2_/chany_top_in[7] +set_disable_timing sb_1__2_/chany_top_out[8] +set_disable_timing sb_1__2_/chany_top_in[8] +set_disable_timing sb_1__2_/chany_top_out[9] +set_disable_timing sb_1__2_/chany_top_in[9] +set_disable_timing sb_1__2_/chanx_right_out[0] +set_disable_timing sb_1__2_/chanx_right_in[0] +set_disable_timing sb_1__2_/chanx_right_out[1] +set_disable_timing sb_1__2_/chanx_right_in[1] +set_disable_timing sb_1__2_/chanx_right_out[2] +set_disable_timing sb_1__2_/chanx_right_in[2] +set_disable_timing sb_1__2_/chanx_right_out[3] +set_disable_timing sb_1__2_/chanx_right_in[3] +set_disable_timing sb_1__2_/chanx_right_out[4] +set_disable_timing sb_1__2_/chanx_right_in[4] +set_disable_timing sb_1__2_/chanx_right_out[5] +set_disable_timing sb_1__2_/chanx_right_in[5] +set_disable_timing sb_1__2_/chanx_right_out[6] +set_disable_timing sb_1__2_/chanx_right_in[6] +set_disable_timing sb_1__2_/chanx_right_out[7] +set_disable_timing sb_1__2_/chanx_right_in[7] +set_disable_timing sb_1__2_/chanx_right_out[8] +set_disable_timing sb_1__2_/chanx_right_in[8] +set_disable_timing sb_1__2_/chanx_right_out[9] +set_disable_timing sb_1__2_/chanx_right_in[9] +set_disable_timing sb_1__2_/chany_bottom_in[0] +set_disable_timing sb_1__2_/chany_bottom_out[0] +set_disable_timing sb_1__2_/chany_bottom_in[1] +set_disable_timing sb_1__2_/chany_bottom_out[1] +set_disable_timing sb_1__2_/chany_bottom_in[2] +set_disable_timing sb_1__2_/chany_bottom_out[2] +set_disable_timing sb_1__2_/chany_bottom_in[3] +set_disable_timing sb_1__2_/chany_bottom_out[3] +set_disable_timing sb_1__2_/chany_bottom_in[4] +set_disable_timing sb_1__2_/chany_bottom_out[4] +set_disable_timing sb_1__2_/chany_bottom_in[5] +set_disable_timing sb_1__2_/chany_bottom_out[5] +set_disable_timing sb_1__2_/chany_bottom_in[6] +set_disable_timing sb_1__2_/chany_bottom_out[6] +set_disable_timing sb_1__2_/chany_bottom_in[7] +set_disable_timing sb_1__2_/chany_bottom_out[7] +set_disable_timing sb_1__2_/chany_bottom_in[8] +set_disable_timing sb_1__2_/chany_bottom_out[8] +set_disable_timing sb_1__2_/chany_bottom_in[9] +set_disable_timing sb_1__2_/chany_bottom_out[9] +set_disable_timing sb_1__2_/chanx_left_in[0] +set_disable_timing sb_1__2_/chanx_left_out[0] +set_disable_timing sb_1__2_/chanx_left_in[1] +set_disable_timing sb_1__2_/chanx_left_out[1] +set_disable_timing sb_1__2_/chanx_left_in[2] +set_disable_timing sb_1__2_/chanx_left_out[2] +set_disable_timing sb_1__2_/chanx_left_in[3] +set_disable_timing sb_1__2_/chanx_left_out[3] +set_disable_timing sb_1__2_/chanx_left_in[4] +set_disable_timing sb_1__2_/chanx_left_out[4] +set_disable_timing sb_1__2_/chanx_left_in[5] +set_disable_timing sb_1__2_/chanx_left_out[5] +set_disable_timing sb_1__2_/chanx_left_in[6] +set_disable_timing sb_1__2_/chanx_left_out[6] +set_disable_timing sb_1__2_/chanx_left_in[7] +set_disable_timing sb_1__2_/chanx_left_out[7] +set_disable_timing sb_1__2_/chanx_left_in[8] +set_disable_timing sb_1__2_/chanx_left_out[8] +set_disable_timing sb_1__2_/chanx_left_in[9] +set_disable_timing sb_1__2_/chanx_left_out[9] +set_disable_timing sb_1__2_/top_left_grid_right_width_0_height_0_subtile_0__pin_O_3_[0] +set_disable_timing sb_1__2_/top_right_grid_left_width_0_height_0_subtile_0__pin_O_1_[0] +set_disable_timing sb_1__2_/right_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_[0] +set_disable_timing sb_1__2_/right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_[0] +set_disable_timing sb_1__2_/bottom_right_grid_left_width_0_height_0_subtile_0__pin_O_1_[0] +set_disable_timing sb_1__2_/bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_3_[0] +set_disable_timing sb_1__2_/left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_[0] +set_disable_timing sb_1__2_/left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_[0] +set_disable_timing sb_1__2_/mux_top_track_0/in[0] +set_disable_timing sb_1__2_/mux_top_track_8/in[0] +set_disable_timing sb_1__2_/mux_right_track_0/in[3] +set_disable_timing sb_1__2_/mux_right_track_8/in[4] +set_disable_timing sb_1__2_/mux_bottom_track_1/in[6] +set_disable_timing sb_1__2_/mux_bottom_track_9/in[6] +set_disable_timing sb_1__2_/mux_left_track_1/in[10] +set_disable_timing sb_1__2_/mux_left_track_9/in[9] +set_disable_timing sb_1__2_/mux_right_track_8/in[0] +set_disable_timing sb_1__2_/mux_bottom_track_1/in[0] +set_disable_timing sb_1__2_/mux_left_track_1/in[0] +set_disable_timing sb_1__2_/mux_right_track_16/in[0] +set_disable_timing sb_1__2_/mux_bottom_track_9/in[0] +set_disable_timing sb_1__2_/mux_left_track_17/in[0] +set_disable_timing sb_1__2_/mux_right_track_0/in[0] +set_disable_timing sb_1__2_/mux_bottom_track_17/in[0] +set_disable_timing sb_1__2_/mux_left_track_9/in[0] +set_disable_timing sb_1__2_/mux_right_track_8/in[1] +set_disable_timing sb_1__2_/mux_left_track_1/in[1] +set_disable_timing sb_1__2_/mux_right_track_8/in[2] +set_disable_timing sb_1__2_/mux_bottom_track_1/in[1] +set_disable_timing sb_1__2_/mux_left_track_1/in[2] +set_disable_timing sb_1__2_/mux_right_track_16/in[1] +set_disable_timing sb_1__2_/mux_bottom_track_9/in[1] +set_disable_timing sb_1__2_/mux_left_track_17/in[1] +set_disable_timing sb_1__2_/mux_right_track_0/in[1] +set_disable_timing sb_1__2_/mux_bottom_track_17/in[1] +set_disable_timing sb_1__2_/mux_left_track_9/in[1] +set_disable_timing sb_1__2_/mux_right_track_16/in[2] +set_disable_timing sb_1__2_/mux_left_track_17/in[2] +set_disable_timing sb_1__2_/mux_right_track_8/in[3] +set_disable_timing sb_1__2_/mux_bottom_track_1/in[2] +set_disable_timing sb_1__2_/mux_left_track_1/in[3] +set_disable_timing sb_1__2_/mux_right_track_0/in[2] +set_disable_timing sb_1__2_/mux_left_track_9/in[2] +set_disable_timing sb_1__2_/mux_top_track_16/in[0] +set_disable_timing sb_1__2_/mux_bottom_track_9/in[2] +set_disable_timing sb_1__2_/mux_left_track_1/in[4] +set_disable_timing sb_1__2_/mux_top_track_0/in[1] +set_disable_timing sb_1__2_/mux_bottom_track_1/in[3] +set_disable_timing sb_1__2_/mux_left_track_9/in[3] +set_disable_timing sb_1__2_/mux_top_track_8/in[1] +set_disable_timing sb_1__2_/mux_bottom_track_17/in[2] +set_disable_timing sb_1__2_/mux_left_track_17/in[3] +set_disable_timing sb_1__2_/mux_top_track_16/in[1] +set_disable_timing sb_1__2_/mux_bottom_track_9/in[3] +set_disable_timing sb_1__2_/mux_top_track_16/in[2] +set_disable_timing sb_1__2_/mux_bottom_track_9/in[4] +set_disable_timing sb_1__2_/mux_left_track_1/in[5] +set_disable_timing sb_1__2_/mux_top_track_0/in[2] +set_disable_timing sb_1__2_/mux_bottom_track_1/in[4] +set_disable_timing sb_1__2_/mux_left_track_9/in[4] +set_disable_timing sb_1__2_/mux_top_track_8/in[2] +set_disable_timing sb_1__2_/mux_bottom_track_17/in[3] +set_disable_timing sb_1__2_/mux_left_track_17/in[4] +set_disable_timing sb_1__2_/mux_top_track_0/in[3] +set_disable_timing sb_1__2_/mux_bottom_track_1/in[5] +set_disable_timing sb_1__2_/mux_top_track_16/in[3] +set_disable_timing sb_1__2_/mux_bottom_track_9/in[5] +set_disable_timing sb_1__2_/mux_left_track_1/in[6] +set_disable_timing sb_1__2_/mux_top_track_8/in[3] +set_disable_timing sb_1__2_/mux_bottom_track_17/in[4] +set_disable_timing sb_1__2_/mux_top_track_0/in[4] +set_disable_timing sb_1__2_/mux_right_track_8/in[5] +set_disable_timing sb_1__2_/mux_left_track_9/in[5] +set_disable_timing sb_1__2_/mux_top_track_8/in[4] +set_disable_timing sb_1__2_/mux_right_track_0/in[4] +set_disable_timing sb_1__2_/mux_left_track_17/in[5] +set_disable_timing sb_1__2_/mux_top_track_16/in[4] +set_disable_timing sb_1__2_/mux_right_track_16/in[3] +set_disable_timing sb_1__2_/mux_left_track_1/in[7] +set_disable_timing sb_1__2_/mux_right_track_8/in[6] +set_disable_timing sb_1__2_/mux_left_track_9/in[6] +set_disable_timing sb_1__2_/mux_top_track_0/in[5] +set_disable_timing sb_1__2_/mux_right_track_8/in[7] +set_disable_timing sb_1__2_/mux_left_track_9/in[7] +set_disable_timing sb_1__2_/mux_top_track_8/in[5] +set_disable_timing sb_1__2_/mux_right_track_0/in[5] +set_disable_timing sb_1__2_/mux_left_track_17/in[6] +set_disable_timing sb_1__2_/mux_top_track_16/in[5] +set_disable_timing sb_1__2_/mux_right_track_16/in[4] +set_disable_timing sb_1__2_/mux_left_track_1/in[8] +set_disable_timing sb_1__2_/mux_right_track_0/in[6] +set_disable_timing sb_1__2_/mux_left_track_17/in[7] +set_disable_timing sb_1__2_/mux_top_track_0/in[6] +set_disable_timing sb_1__2_/mux_right_track_8/in[8] +set_disable_timing sb_1__2_/mux_left_track_9/in[8] +set_disable_timing sb_1__2_/mux_right_track_16/in[5] +set_disable_timing sb_1__2_/mux_left_track_1/in[9] +set_disable_timing sb_1__2_/mux_top_track_0/in[7] +set_disable_timing sb_1__2_/mux_right_track_0/in[7] +set_disable_timing sb_1__2_/mux_bottom_track_17/in[5] +set_disable_timing sb_1__2_/mux_top_track_16/in[6] +set_disable_timing sb_1__2_/mux_right_track_8/in[9] +set_disable_timing sb_1__2_/mux_bottom_track_1/in[7] +set_disable_timing sb_1__2_/mux_top_track_8/in[6] +set_disable_timing sb_1__2_/mux_right_track_16/in[6] +set_disable_timing sb_1__2_/mux_bottom_track_9/in[7] +set_disable_timing sb_1__2_/mux_top_track_0/in[8] +set_disable_timing sb_1__2_/mux_bottom_track_17/in[6] +set_disable_timing sb_1__2_/mux_top_track_0/in[9] +set_disable_timing sb_1__2_/mux_right_track_0/in[8] +set_disable_timing sb_1__2_/mux_bottom_track_17/in[7] +set_disable_timing sb_1__2_/mux_top_track_16/in[7] +set_disable_timing sb_1__2_/mux_right_track_8/in[10] +set_disable_timing sb_1__2_/mux_bottom_track_1/in[8] +set_disable_timing sb_1__2_/mux_top_track_8/in[7] +set_disable_timing sb_1__2_/mux_right_track_16/in[7] +set_disable_timing sb_1__2_/mux_bottom_track_9/in[8] +set_disable_timing sb_1__2_/mux_top_track_16/in[8] +set_disable_timing sb_1__2_/mux_bottom_track_1/in[9] +set_disable_timing sb_1__2_/mux_top_track_0/in[10] +set_disable_timing sb_1__2_/mux_right_track_0/in[9] +set_disable_timing sb_1__2_/mux_bottom_track_17/in[8] +set_disable_timing sb_1__2_/mux_top_track_8/in[8] +set_disable_timing sb_1__2_/mux_bottom_track_9/in[9] +################################################## +# Disable timing for Switch block sb_1__1_ +################################################## +set_disable_timing sb_1__3_/chany_top_out[0] +set_disable_timing sb_1__3_/chany_top_in[0] +set_disable_timing sb_1__3_/chany_top_out[1] +set_disable_timing sb_1__3_/chany_top_in[1] +set_disable_timing sb_1__3_/chany_top_out[2] +set_disable_timing sb_1__3_/chany_top_in[2] +set_disable_timing sb_1__3_/chany_top_out[3] +set_disable_timing sb_1__3_/chany_top_in[3] +set_disable_timing sb_1__3_/chany_top_out[4] +set_disable_timing sb_1__3_/chany_top_in[4] +set_disable_timing sb_1__3_/chany_top_out[5] +set_disable_timing sb_1__3_/chany_top_in[5] +set_disable_timing sb_1__3_/chany_top_out[6] +set_disable_timing sb_1__3_/chany_top_in[6] +set_disable_timing sb_1__3_/chany_top_out[7] +set_disable_timing sb_1__3_/chany_top_in[7] +set_disable_timing sb_1__3_/chany_top_out[8] +set_disable_timing sb_1__3_/chany_top_in[8] +set_disable_timing sb_1__3_/chany_top_out[9] +set_disable_timing sb_1__3_/chany_top_in[9] +set_disable_timing sb_1__3_/chanx_right_out[0] +set_disable_timing sb_1__3_/chanx_right_in[0] +set_disable_timing sb_1__3_/chanx_right_out[1] +set_disable_timing sb_1__3_/chanx_right_in[1] +set_disable_timing sb_1__3_/chanx_right_out[2] +set_disable_timing sb_1__3_/chanx_right_in[2] +set_disable_timing sb_1__3_/chanx_right_out[3] +set_disable_timing sb_1__3_/chanx_right_in[3] +set_disable_timing sb_1__3_/chanx_right_out[4] +set_disable_timing sb_1__3_/chanx_right_in[4] +set_disable_timing sb_1__3_/chanx_right_out[5] +set_disable_timing sb_1__3_/chanx_right_in[5] +set_disable_timing sb_1__3_/chanx_right_out[6] +set_disable_timing sb_1__3_/chanx_right_in[6] +set_disable_timing sb_1__3_/chanx_right_out[7] +set_disable_timing sb_1__3_/chanx_right_in[7] +set_disable_timing sb_1__3_/chanx_right_out[8] +set_disable_timing sb_1__3_/chanx_right_in[8] +set_disable_timing sb_1__3_/chanx_right_out[9] +set_disable_timing sb_1__3_/chanx_right_in[9] +set_disable_timing sb_1__3_/chany_bottom_in[0] +set_disable_timing sb_1__3_/chany_bottom_out[0] +set_disable_timing sb_1__3_/chany_bottom_in[1] +set_disable_timing sb_1__3_/chany_bottom_out[1] +set_disable_timing sb_1__3_/chany_bottom_in[2] +set_disable_timing sb_1__3_/chany_bottom_out[2] +set_disable_timing sb_1__3_/chany_bottom_in[3] +set_disable_timing sb_1__3_/chany_bottom_out[3] +set_disable_timing sb_1__3_/chany_bottom_in[4] +set_disable_timing sb_1__3_/chany_bottom_out[4] +set_disable_timing sb_1__3_/chany_bottom_in[5] +set_disable_timing sb_1__3_/chany_bottom_out[5] +set_disable_timing sb_1__3_/chany_bottom_in[6] +set_disable_timing sb_1__3_/chany_bottom_out[6] +set_disable_timing sb_1__3_/chany_bottom_in[7] +set_disable_timing sb_1__3_/chany_bottom_out[7] +set_disable_timing sb_1__3_/chany_bottom_in[8] +set_disable_timing sb_1__3_/chany_bottom_out[8] +set_disable_timing sb_1__3_/chany_bottom_in[9] +set_disable_timing sb_1__3_/chany_bottom_out[9] +set_disable_timing sb_1__3_/chanx_left_in[0] +set_disable_timing sb_1__3_/chanx_left_out[0] +set_disable_timing sb_1__3_/chanx_left_in[1] +set_disable_timing sb_1__3_/chanx_left_out[1] +set_disable_timing sb_1__3_/chanx_left_in[2] +set_disable_timing sb_1__3_/chanx_left_out[2] +set_disable_timing sb_1__3_/chanx_left_in[3] +set_disable_timing sb_1__3_/chanx_left_out[3] +set_disable_timing sb_1__3_/chanx_left_in[4] +set_disable_timing sb_1__3_/chanx_left_out[4] +set_disable_timing sb_1__3_/chanx_left_in[5] +set_disable_timing sb_1__3_/chanx_left_out[5] +set_disable_timing sb_1__3_/chanx_left_in[6] +set_disable_timing sb_1__3_/chanx_left_out[6] +set_disable_timing sb_1__3_/chanx_left_in[7] +set_disable_timing sb_1__3_/chanx_left_out[7] +set_disable_timing sb_1__3_/chanx_left_in[8] +set_disable_timing sb_1__3_/chanx_left_out[8] +set_disable_timing sb_1__3_/chanx_left_in[9] +set_disable_timing sb_1__3_/chanx_left_out[9] +set_disable_timing sb_1__3_/top_left_grid_right_width_0_height_0_subtile_0__pin_O_3_[0] +set_disable_timing sb_1__3_/top_right_grid_left_width_0_height_0_subtile_0__pin_O_1_[0] +set_disable_timing sb_1__3_/right_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_[0] +set_disable_timing sb_1__3_/right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_[0] +set_disable_timing sb_1__3_/bottom_right_grid_left_width_0_height_0_subtile_0__pin_O_1_[0] +set_disable_timing sb_1__3_/bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_3_[0] +set_disable_timing sb_1__3_/left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_[0] +set_disable_timing sb_1__3_/left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_[0] +set_disable_timing sb_1__3_/mux_top_track_0/in[0] +set_disable_timing sb_1__3_/mux_top_track_8/in[0] +set_disable_timing sb_1__3_/mux_right_track_0/in[3] +set_disable_timing sb_1__3_/mux_right_track_8/in[4] +set_disable_timing sb_1__3_/mux_bottom_track_1/in[6] +set_disable_timing sb_1__3_/mux_bottom_track_9/in[6] +set_disable_timing sb_1__3_/mux_left_track_1/in[10] +set_disable_timing sb_1__3_/mux_left_track_9/in[9] +set_disable_timing sb_1__3_/mux_right_track_8/in[0] +set_disable_timing sb_1__3_/mux_bottom_track_1/in[0] +set_disable_timing sb_1__3_/mux_left_track_1/in[0] +set_disable_timing sb_1__3_/mux_right_track_16/in[0] +set_disable_timing sb_1__3_/mux_bottom_track_9/in[0] +set_disable_timing sb_1__3_/mux_left_track_17/in[0] +set_disable_timing sb_1__3_/mux_right_track_0/in[0] +set_disable_timing sb_1__3_/mux_bottom_track_17/in[0] +set_disable_timing sb_1__3_/mux_left_track_9/in[0] +set_disable_timing sb_1__3_/mux_right_track_8/in[1] +set_disable_timing sb_1__3_/mux_left_track_1/in[1] +set_disable_timing sb_1__3_/mux_right_track_8/in[2] +set_disable_timing sb_1__3_/mux_bottom_track_1/in[1] +set_disable_timing sb_1__3_/mux_left_track_1/in[2] +set_disable_timing sb_1__3_/mux_right_track_16/in[1] +set_disable_timing sb_1__3_/mux_bottom_track_9/in[1] +set_disable_timing sb_1__3_/mux_left_track_17/in[1] +set_disable_timing sb_1__3_/mux_right_track_0/in[1] +set_disable_timing sb_1__3_/mux_bottom_track_17/in[1] +set_disable_timing sb_1__3_/mux_left_track_9/in[1] +set_disable_timing sb_1__3_/mux_right_track_16/in[2] +set_disable_timing sb_1__3_/mux_left_track_17/in[2] +set_disable_timing sb_1__3_/mux_right_track_8/in[3] +set_disable_timing sb_1__3_/mux_bottom_track_1/in[2] +set_disable_timing sb_1__3_/mux_left_track_1/in[3] +set_disable_timing sb_1__3_/mux_right_track_0/in[2] +set_disable_timing sb_1__3_/mux_left_track_9/in[2] +set_disable_timing sb_1__3_/mux_top_track_16/in[0] +set_disable_timing sb_1__3_/mux_bottom_track_9/in[2] +set_disable_timing sb_1__3_/mux_left_track_1/in[4] +set_disable_timing sb_1__3_/mux_top_track_0/in[1] +set_disable_timing sb_1__3_/mux_bottom_track_1/in[3] +set_disable_timing sb_1__3_/mux_left_track_9/in[3] +set_disable_timing sb_1__3_/mux_top_track_8/in[1] +set_disable_timing sb_1__3_/mux_bottom_track_17/in[2] +set_disable_timing sb_1__3_/mux_left_track_17/in[3] +set_disable_timing sb_1__3_/mux_top_track_16/in[1] +set_disable_timing sb_1__3_/mux_bottom_track_9/in[3] +set_disable_timing sb_1__3_/mux_top_track_16/in[2] +set_disable_timing sb_1__3_/mux_bottom_track_9/in[4] +set_disable_timing sb_1__3_/mux_left_track_1/in[5] +set_disable_timing sb_1__3_/mux_top_track_0/in[2] +set_disable_timing sb_1__3_/mux_bottom_track_1/in[4] +set_disable_timing sb_1__3_/mux_left_track_9/in[4] +set_disable_timing sb_1__3_/mux_top_track_8/in[2] +set_disable_timing sb_1__3_/mux_bottom_track_17/in[3] +set_disable_timing sb_1__3_/mux_left_track_17/in[4] +set_disable_timing sb_1__3_/mux_top_track_0/in[3] +set_disable_timing sb_1__3_/mux_bottom_track_1/in[5] +set_disable_timing sb_1__3_/mux_top_track_16/in[3] +set_disable_timing sb_1__3_/mux_bottom_track_9/in[5] +set_disable_timing sb_1__3_/mux_left_track_1/in[6] +set_disable_timing sb_1__3_/mux_top_track_8/in[3] +set_disable_timing sb_1__3_/mux_bottom_track_17/in[4] +set_disable_timing sb_1__3_/mux_top_track_0/in[4] +set_disable_timing sb_1__3_/mux_right_track_8/in[5] +set_disable_timing sb_1__3_/mux_left_track_9/in[5] +set_disable_timing sb_1__3_/mux_top_track_8/in[4] +set_disable_timing sb_1__3_/mux_right_track_0/in[4] +set_disable_timing sb_1__3_/mux_left_track_17/in[5] +set_disable_timing sb_1__3_/mux_top_track_16/in[4] +set_disable_timing sb_1__3_/mux_right_track_16/in[3] +set_disable_timing sb_1__3_/mux_left_track_1/in[7] +set_disable_timing sb_1__3_/mux_right_track_8/in[6] +set_disable_timing sb_1__3_/mux_left_track_9/in[6] +set_disable_timing sb_1__3_/mux_top_track_0/in[5] +set_disable_timing sb_1__3_/mux_right_track_8/in[7] +set_disable_timing sb_1__3_/mux_left_track_9/in[7] +set_disable_timing sb_1__3_/mux_top_track_8/in[5] +set_disable_timing sb_1__3_/mux_right_track_0/in[5] +set_disable_timing sb_1__3_/mux_left_track_17/in[6] +set_disable_timing sb_1__3_/mux_top_track_16/in[5] +set_disable_timing sb_1__3_/mux_right_track_16/in[4] +set_disable_timing sb_1__3_/mux_left_track_1/in[8] +set_disable_timing sb_1__3_/mux_right_track_0/in[6] +set_disable_timing sb_1__3_/mux_left_track_17/in[7] +set_disable_timing sb_1__3_/mux_top_track_0/in[6] +set_disable_timing sb_1__3_/mux_right_track_8/in[8] +set_disable_timing sb_1__3_/mux_left_track_9/in[8] +set_disable_timing sb_1__3_/mux_right_track_16/in[5] +set_disable_timing sb_1__3_/mux_left_track_1/in[9] +set_disable_timing sb_1__3_/mux_top_track_0/in[7] +set_disable_timing sb_1__3_/mux_right_track_0/in[7] +set_disable_timing sb_1__3_/mux_bottom_track_17/in[5] +set_disable_timing sb_1__3_/mux_top_track_16/in[6] +set_disable_timing sb_1__3_/mux_right_track_8/in[9] +set_disable_timing sb_1__3_/mux_bottom_track_1/in[7] +set_disable_timing sb_1__3_/mux_top_track_8/in[6] +set_disable_timing sb_1__3_/mux_right_track_16/in[6] +set_disable_timing sb_1__3_/mux_bottom_track_9/in[7] +set_disable_timing sb_1__3_/mux_top_track_0/in[8] +set_disable_timing sb_1__3_/mux_bottom_track_17/in[6] +set_disable_timing sb_1__3_/mux_top_track_0/in[9] +set_disable_timing sb_1__3_/mux_right_track_0/in[8] +set_disable_timing sb_1__3_/mux_bottom_track_17/in[7] +set_disable_timing sb_1__3_/mux_top_track_16/in[7] +set_disable_timing sb_1__3_/mux_right_track_8/in[10] +set_disable_timing sb_1__3_/mux_bottom_track_1/in[8] +set_disable_timing sb_1__3_/mux_top_track_8/in[7] +set_disable_timing sb_1__3_/mux_right_track_16/in[7] +set_disable_timing sb_1__3_/mux_bottom_track_9/in[8] +set_disable_timing sb_1__3_/mux_top_track_16/in[8] +set_disable_timing sb_1__3_/mux_bottom_track_1/in[9] +set_disable_timing sb_1__3_/mux_top_track_0/in[10] +set_disable_timing sb_1__3_/mux_right_track_0/in[9] +set_disable_timing sb_1__3_/mux_bottom_track_17/in[8] +set_disable_timing sb_1__3_/mux_top_track_8/in[8] +set_disable_timing sb_1__3_/mux_bottom_track_9/in[9] +################################################## +# Disable timing for Switch block sb_1__4_ +################################################## +set_disable_timing sb_1__4_/chanx_right_out[0] +set_disable_timing sb_1__4_/chanx_right_in[0] +set_disable_timing sb_1__4_/chanx_right_out[1] +set_disable_timing sb_1__4_/chanx_right_in[1] +set_disable_timing sb_1__4_/chanx_right_out[2] +set_disable_timing sb_1__4_/chanx_right_in[2] +set_disable_timing sb_1__4_/chanx_right_out[3] +set_disable_timing sb_1__4_/chanx_right_in[3] +set_disable_timing sb_1__4_/chanx_right_out[4] +set_disable_timing sb_1__4_/chanx_right_in[4] +set_disable_timing sb_1__4_/chanx_right_out[5] +set_disable_timing sb_1__4_/chanx_right_in[5] +set_disable_timing sb_1__4_/chanx_right_out[6] +set_disable_timing sb_1__4_/chanx_right_in[6] +set_disable_timing sb_1__4_/chanx_right_out[7] +set_disable_timing sb_1__4_/chanx_right_in[7] +set_disable_timing sb_1__4_/chanx_right_out[8] +set_disable_timing sb_1__4_/chanx_right_in[8] +set_disable_timing sb_1__4_/chanx_right_out[9] +set_disable_timing sb_1__4_/chanx_right_in[9] +set_disable_timing sb_1__4_/chany_bottom_in[0] +set_disable_timing sb_1__4_/chany_bottom_out[0] +set_disable_timing sb_1__4_/chany_bottom_in[1] +set_disable_timing sb_1__4_/chany_bottom_out[1] +set_disable_timing sb_1__4_/chany_bottom_in[2] +set_disable_timing sb_1__4_/chany_bottom_out[2] +set_disable_timing sb_1__4_/chany_bottom_in[3] +set_disable_timing sb_1__4_/chany_bottom_out[3] +set_disable_timing sb_1__4_/chany_bottom_in[4] +set_disable_timing sb_1__4_/chany_bottom_out[4] +set_disable_timing sb_1__4_/chany_bottom_in[5] +set_disable_timing sb_1__4_/chany_bottom_out[5] +set_disable_timing sb_1__4_/chany_bottom_in[6] +set_disable_timing sb_1__4_/chany_bottom_out[6] +set_disable_timing sb_1__4_/chany_bottom_in[7] +set_disable_timing sb_1__4_/chany_bottom_out[7] +set_disable_timing sb_1__4_/chany_bottom_in[8] +set_disable_timing sb_1__4_/chany_bottom_out[8] +set_disable_timing sb_1__4_/chany_bottom_in[9] +set_disable_timing sb_1__4_/chany_bottom_out[9] +set_disable_timing sb_1__4_/chanx_left_in[0] +set_disable_timing sb_1__4_/chanx_left_out[0] +set_disable_timing sb_1__4_/chanx_left_in[1] +set_disable_timing sb_1__4_/chanx_left_out[1] +set_disable_timing sb_1__4_/chanx_left_in[2] +set_disable_timing sb_1__4_/chanx_left_out[2] +set_disable_timing sb_1__4_/chanx_left_in[3] +set_disable_timing sb_1__4_/chanx_left_out[3] +set_disable_timing sb_1__4_/chanx_left_in[4] +set_disable_timing sb_1__4_/chanx_left_out[4] +set_disable_timing sb_1__4_/chanx_left_in[5] +set_disable_timing sb_1__4_/chanx_left_out[5] +set_disable_timing sb_1__4_/chanx_left_in[6] +set_disable_timing sb_1__4_/chanx_left_out[6] +set_disable_timing sb_1__4_/chanx_left_in[7] +set_disable_timing sb_1__4_/chanx_left_out[7] +set_disable_timing sb_1__4_/chanx_left_in[8] +set_disable_timing sb_1__4_/chanx_left_out[8] +set_disable_timing sb_1__4_/chanx_left_in[9] +set_disable_timing sb_1__4_/chanx_left_out[9] +set_disable_timing sb_1__4_/right_top_grid_bottom_width_0_height_0_subtile_0__pin_inpad_0_[0] +set_disable_timing sb_1__4_/right_top_grid_bottom_width_0_height_0_subtile_1__pin_inpad_0_[0] +set_disable_timing sb_1__4_/right_top_grid_bottom_width_0_height_0_subtile_2__pin_inpad_0_[0] +set_disable_timing sb_1__4_/right_top_grid_bottom_width_0_height_0_subtile_3__pin_inpad_0_[0] +set_disable_timing sb_1__4_/right_top_grid_bottom_width_0_height_0_subtile_4__pin_inpad_0_[0] +set_disable_timing sb_1__4_/right_top_grid_bottom_width_0_height_0_subtile_5__pin_inpad_0_[0] +set_disable_timing sb_1__4_/right_top_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_[0] +set_disable_timing sb_1__4_/right_top_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_[0] +set_disable_timing sb_1__4_/right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_[0] +set_disable_timing sb_1__4_/bottom_right_grid_left_width_0_height_0_subtile_0__pin_O_1_[0] +set_disable_timing sb_1__4_/bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_3_[0] +set_disable_timing sb_1__4_/left_top_grid_bottom_width_0_height_0_subtile_0__pin_inpad_0_[0] +set_disable_timing sb_1__4_/left_top_grid_bottom_width_0_height_0_subtile_1__pin_inpad_0_[0] +set_disable_timing sb_1__4_/left_top_grid_bottom_width_0_height_0_subtile_2__pin_inpad_0_[0] +set_disable_timing sb_1__4_/left_top_grid_bottom_width_0_height_0_subtile_3__pin_inpad_0_[0] +set_disable_timing sb_1__4_/left_top_grid_bottom_width_0_height_0_subtile_4__pin_inpad_0_[0] +set_disable_timing sb_1__4_/left_top_grid_bottom_width_0_height_0_subtile_5__pin_inpad_0_[0] +set_disable_timing sb_1__4_/left_top_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_[0] +set_disable_timing sb_1__4_/left_top_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_[0] +set_disable_timing sb_1__4_/left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_[0] +set_disable_timing sb_1__4_/mux_right_track_0/in[0] +set_disable_timing sb_1__4_/mux_right_track_8/in[0] +set_disable_timing sb_1__4_/mux_right_track_16/in[0] +set_disable_timing sb_1__4_/mux_right_track_0/in[1] +set_disable_timing sb_1__4_/mux_right_track_8/in[1] +set_disable_timing sb_1__4_/mux_right_track_16/in[1] +set_disable_timing sb_1__4_/mux_right_track_0/in[2] +set_disable_timing sb_1__4_/mux_right_track_8/in[2] +set_disable_timing sb_1__4_/mux_right_track_16/in[2] +set_disable_timing sb_1__4_/mux_bottom_track_1/in[0] +set_disable_timing sb_1__4_/mux_bottom_track_3/in[0] +set_disable_timing sb_1__4_/mux_left_track_1/in[6] +set_disable_timing sb_1__4_/mux_left_track_9/in[6] +set_disable_timing sb_1__4_/mux_left_track_17/in[5] +set_disable_timing sb_1__4_/mux_left_track_1/in[7] +set_disable_timing sb_1__4_/mux_left_track_9/in[7] +set_disable_timing sb_1__4_/mux_left_track_17/in[6] +set_disable_timing sb_1__4_/mux_left_track_1/in[8] +set_disable_timing sb_1__4_/mux_left_track_9/in[8] +set_disable_timing sb_1__4_/mux_left_track_17/in[7] +set_disable_timing sb_1__4_/mux_bottom_track_17/in[0] +set_disable_timing sb_1__4_/mux_left_track_1/in[0] +set_disable_timing sb_1__4_/mux_bottom_track_15/in[0] +set_disable_timing sb_1__4_/mux_left_track_9/in[0] +set_disable_timing sb_1__4_/mux_bottom_track_13/in[0] +set_disable_timing sb_1__4_/mux_left_track_17/in[0] +set_disable_timing sb_1__4_/mux_bottom_track_17/in[1] +set_disable_timing sb_1__4_/mux_bottom_track_11/in[0] +set_disable_timing sb_1__4_/mux_left_track_1/in[1] +set_disable_timing sb_1__4_/mux_bottom_track_9/in[0] +set_disable_timing sb_1__4_/mux_left_track_9/in[1] +set_disable_timing sb_1__4_/mux_bottom_track_7/in[0] +set_disable_timing sb_1__4_/mux_left_track_17/in[1] +set_disable_timing sb_1__4_/mux_bottom_track_15/in[1] +set_disable_timing sb_1__4_/mux_bottom_track_5/in[0] +set_disable_timing sb_1__4_/mux_left_track_1/in[2] +set_disable_timing sb_1__4_/mux_bottom_track_13/in[1] +set_disable_timing sb_1__4_/mux_right_track_8/in[3] +set_disable_timing sb_1__4_/mux_left_track_9/in[2] +set_disable_timing sb_1__4_/mux_right_track_0/in[3] +set_disable_timing sb_1__4_/mux_left_track_17/in[2] +set_disable_timing sb_1__4_/mux_right_track_16/in[3] +set_disable_timing sb_1__4_/mux_left_track_1/in[3] +set_disable_timing sb_1__4_/mux_right_track_8/in[4] +set_disable_timing sb_1__4_/mux_left_track_9/in[3] +set_disable_timing sb_1__4_/mux_right_track_0/in[4] +set_disable_timing sb_1__4_/mux_left_track_17/in[3] +set_disable_timing sb_1__4_/mux_right_track_16/in[4] +set_disable_timing sb_1__4_/mux_left_track_1/in[4] +set_disable_timing sb_1__4_/mux_right_track_8/in[5] +set_disable_timing sb_1__4_/mux_left_track_9/in[4] +set_disable_timing sb_1__4_/mux_right_track_0/in[5] +set_disable_timing sb_1__4_/mux_left_track_17/in[4] +set_disable_timing sb_1__4_/mux_right_track_16/in[5] +set_disable_timing sb_1__4_/mux_left_track_1/in[5] +set_disable_timing sb_1__4_/mux_right_track_8/in[6] +set_disable_timing sb_1__4_/mux_left_track_9/in[5] +set_disable_timing sb_1__4_/mux_right_track_0/in[6] +set_disable_timing sb_1__4_/mux_bottom_track_19/in[0] +set_disable_timing sb_1__4_/mux_right_track_8/in[7] +set_disable_timing sb_1__4_/mux_bottom_track_1/in[1] +set_disable_timing sb_1__4_/mux_right_track_16/in[6] +set_disable_timing sb_1__4_/mux_bottom_track_3/in[1] +set_disable_timing sb_1__4_/mux_bottom_track_19/in[1] +set_disable_timing sb_1__4_/mux_right_track_0/in[7] +set_disable_timing sb_1__4_/mux_bottom_track_5/in[1] +set_disable_timing sb_1__4_/mux_right_track_8/in[8] +set_disable_timing sb_1__4_/mux_bottom_track_7/in[1] +set_disable_timing sb_1__4_/mux_right_track_16/in[7] +set_disable_timing sb_1__4_/mux_bottom_track_9/in[1] +set_disable_timing sb_1__4_/mux_bottom_track_1/in[2] +set_disable_timing sb_1__4_/mux_right_track_0/in[8] +set_disable_timing sb_1__4_/mux_bottom_track_11/in[1] +set_disable_timing sb_1__4_/mux_bottom_track_3/in[2] +################################################## +# Disable timing for Switch block sb_1__0_ +################################################## +set_disable_timing sb_2__0_/chany_top_out[0] +set_disable_timing sb_2__0_/chany_top_in[0] +set_disable_timing sb_2__0_/chany_top_out[1] +set_disable_timing sb_2__0_/chany_top_in[1] +set_disable_timing sb_2__0_/chany_top_out[2] +set_disable_timing sb_2__0_/chany_top_in[2] +set_disable_timing sb_2__0_/chany_top_out[3] +set_disable_timing sb_2__0_/chany_top_in[3] +set_disable_timing sb_2__0_/chany_top_out[4] +set_disable_timing sb_2__0_/chany_top_in[4] +set_disable_timing sb_2__0_/chany_top_out[5] +set_disable_timing sb_2__0_/chany_top_in[5] +set_disable_timing sb_2__0_/chany_top_out[6] +set_disable_timing sb_2__0_/chany_top_in[6] +set_disable_timing sb_2__0_/chany_top_out[7] +set_disable_timing sb_2__0_/chany_top_in[7] +set_disable_timing sb_2__0_/chany_top_out[8] +set_disable_timing sb_2__0_/chany_top_in[8] +set_disable_timing sb_2__0_/chany_top_out[9] +set_disable_timing sb_2__0_/chany_top_in[9] +set_disable_timing sb_2__0_/chanx_right_out[0] +set_disable_timing sb_2__0_/chanx_right_in[0] +set_disable_timing sb_2__0_/chanx_right_out[1] +set_disable_timing sb_2__0_/chanx_right_in[1] +set_disable_timing sb_2__0_/chanx_right_out[2] +set_disable_timing sb_2__0_/chanx_right_in[2] +set_disable_timing sb_2__0_/chanx_right_out[3] +set_disable_timing sb_2__0_/chanx_right_out[4] +set_disable_timing sb_2__0_/chanx_right_in[4] +set_disable_timing sb_2__0_/chanx_right_out[5] +set_disable_timing sb_2__0_/chanx_right_in[5] +set_disable_timing sb_2__0_/chanx_right_out[6] +set_disable_timing sb_2__0_/chanx_right_in[6] +set_disable_timing sb_2__0_/chanx_right_out[7] +set_disable_timing sb_2__0_/chanx_right_in[7] +set_disable_timing sb_2__0_/chanx_right_out[8] +set_disable_timing sb_2__0_/chanx_right_in[8] +set_disable_timing sb_2__0_/chanx_right_out[9] +set_disable_timing sb_2__0_/chanx_left_in[0] +set_disable_timing sb_2__0_/chanx_left_out[0] +set_disable_timing sb_2__0_/chanx_left_in[1] +set_disable_timing sb_2__0_/chanx_left_out[1] +set_disable_timing sb_2__0_/chanx_left_in[2] +set_disable_timing sb_2__0_/chanx_left_out[2] +set_disable_timing sb_2__0_/chanx_left_in[3] +set_disable_timing sb_2__0_/chanx_left_out[3] +set_disable_timing sb_2__0_/chanx_left_in[4] +set_disable_timing sb_2__0_/chanx_left_out[4] +set_disable_timing sb_2__0_/chanx_left_in[5] +set_disable_timing sb_2__0_/chanx_left_out[5] +set_disable_timing sb_2__0_/chanx_left_in[6] +set_disable_timing sb_2__0_/chanx_left_out[6] +set_disable_timing sb_2__0_/chanx_left_in[7] +set_disable_timing sb_2__0_/chanx_left_out[7] +set_disable_timing sb_2__0_/chanx_left_in[8] +set_disable_timing sb_2__0_/chanx_left_out[8] +set_disable_timing sb_2__0_/chanx_left_in[9] +set_disable_timing sb_2__0_/chanx_left_out[9] +set_disable_timing sb_2__0_/top_left_grid_right_width_0_height_0_subtile_0__pin_O_3_[0] +set_disable_timing sb_2__0_/top_right_grid_left_width_0_height_0_subtile_0__pin_O_1_[0] +set_disable_timing sb_2__0_/right_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_[0] +set_disable_timing sb_2__0_/right_bottom_grid_top_width_0_height_0_subtile_0__pin_inpad_0_[0] +set_disable_timing sb_2__0_/right_bottom_grid_top_width_0_height_0_subtile_1__pin_inpad_0_[0] +set_disable_timing sb_2__0_/right_bottom_grid_top_width_0_height_0_subtile_2__pin_inpad_0_[0] +set_disable_timing sb_2__0_/right_bottom_grid_top_width_0_height_0_subtile_3__pin_inpad_0_[0] +set_disable_timing sb_2__0_/right_bottom_grid_top_width_0_height_0_subtile_4__pin_inpad_0_[0] +set_disable_timing sb_2__0_/right_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_[0] +set_disable_timing sb_2__0_/right_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_[0] +set_disable_timing sb_2__0_/right_bottom_grid_top_width_0_height_0_subtile_7__pin_inpad_0_[0] +set_disable_timing sb_2__0_/left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_[0] +set_disable_timing sb_2__0_/left_bottom_grid_top_width_0_height_0_subtile_0__pin_inpad_0_[0] +set_disable_timing sb_2__0_/left_bottom_grid_top_width_0_height_0_subtile_1__pin_inpad_0_[0] +set_disable_timing sb_2__0_/left_bottom_grid_top_width_0_height_0_subtile_2__pin_inpad_0_[0] +set_disable_timing sb_2__0_/left_bottom_grid_top_width_0_height_0_subtile_3__pin_inpad_0_[0] +set_disable_timing sb_2__0_/left_bottom_grid_top_width_0_height_0_subtile_4__pin_inpad_0_[0] +set_disable_timing sb_2__0_/left_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_[0] +set_disable_timing sb_2__0_/left_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_[0] +set_disable_timing sb_2__0_/left_bottom_grid_top_width_0_height_0_subtile_7__pin_inpad_0_[0] +set_disable_timing sb_2__0_/mux_top_track_0/in[0] +set_disable_timing sb_2__0_/mux_top_track_2/in[0] +set_disable_timing sb_2__0_/mux_right_track_0/in[3] +set_disable_timing sb_2__0_/mux_right_track_8/in[4] +set_disable_timing sb_2__0_/mux_right_track_16/in[3] +set_disable_timing sb_2__0_/mux_right_track_0/in[4] +set_disable_timing sb_2__0_/mux_right_track_8/in[5] +set_disable_timing sb_2__0_/mux_right_track_16/in[4] +set_disable_timing sb_2__0_/mux_right_track_0/in[5] +set_disable_timing sb_2__0_/mux_right_track_8/in[6] +set_disable_timing sb_2__0_/mux_right_track_16/in[5] +set_disable_timing sb_2__0_/mux_left_track_1/in[7] +set_disable_timing sb_2__0_/mux_left_track_9/in[5] +set_disable_timing sb_2__0_/mux_left_track_17/in[5] +set_disable_timing sb_2__0_/mux_left_track_1/in[8] +set_disable_timing sb_2__0_/mux_left_track_9/in[6] +set_disable_timing sb_2__0_/mux_left_track_17/in[6] +set_disable_timing sb_2__0_/mux_left_track_1/in[9] +set_disable_timing sb_2__0_/mux_left_track_9/in[7] +set_disable_timing sb_2__0_/mux_left_track_17/in[7] +set_disable_timing sb_2__0_/mux_right_track_8/in[0] +set_disable_timing sb_2__0_/mux_left_track_1/in[0] +set_disable_timing sb_2__0_/mux_right_track_16/in[0] +set_disable_timing sb_2__0_/mux_left_track_17/in[0] +set_disable_timing sb_2__0_/mux_right_track_0/in[0] +set_disable_timing sb_2__0_/mux_left_track_9/in[0] +set_disable_timing sb_2__0_/mux_right_track_8/in[1] +set_disable_timing sb_2__0_/mux_left_track_1/in[1] +set_disable_timing sb_2__0_/mux_right_track_16/in[1] +set_disable_timing sb_2__0_/mux_left_track_17/in[1] +set_disable_timing sb_2__0_/mux_right_track_0/in[1] +set_disable_timing sb_2__0_/mux_left_track_9/in[1] +set_disable_timing sb_2__0_/mux_right_track_8/in[2] +set_disable_timing sb_2__0_/mux_left_track_1/in[2] +set_disable_timing sb_2__0_/mux_right_track_16/in[2] +set_disable_timing sb_2__0_/mux_left_track_17/in[2] +set_disable_timing sb_2__0_/mux_right_track_0/in[2] +set_disable_timing sb_2__0_/mux_left_track_9/in[2] +set_disable_timing sb_2__0_/mux_right_track_8/in[3] +set_disable_timing sb_2__0_/mux_left_track_1/in[3] +set_disable_timing sb_2__0_/mux_top_track_18/in[0] +set_disable_timing sb_2__0_/mux_left_track_1/in[4] +set_disable_timing sb_2__0_/mux_top_track_0/in[1] +set_disable_timing sb_2__0_/mux_left_track_9/in[3] +set_disable_timing sb_2__0_/mux_top_track_2/in[1] +set_disable_timing sb_2__0_/mux_left_track_17/in[3] +set_disable_timing sb_2__0_/mux_top_track_18/in[1] +set_disable_timing sb_2__0_/mux_left_track_1/in[5] +set_disable_timing sb_2__0_/mux_left_track_9/in[4] +set_disable_timing sb_2__0_/mux_top_track_8/in[0] +set_disable_timing sb_2__0_/mux_left_track_17/in[4] +set_disable_timing sb_2__0_/mux_top_track_0/in[2] +set_disable_timing sb_2__0_/mux_top_track_10/in[0] +set_disable_timing sb_2__0_/mux_left_track_1/in[6] +set_disable_timing sb_2__0_/mux_top_track_2/in[2] +set_disable_timing sb_2__0_/mux_top_track_0/in[3] +set_disable_timing sb_2__0_/mux_right_track_0/in[6] +set_disable_timing sb_2__0_/mux_top_track_18/in[2] +set_disable_timing sb_2__0_/mux_right_track_8/in[7] +set_disable_timing sb_2__0_/mux_top_track_16/in[0] +set_disable_timing sb_2__0_/mux_right_track_16/in[6] +set_disable_timing sb_2__0_/mux_top_track_0/in[4] +set_disable_timing sb_2__0_/mux_right_track_0/in[7] +set_disable_timing sb_2__0_/mux_right_track_8/in[8] +set_disable_timing sb_2__0_/mux_top_track_10/in[1] +set_disable_timing sb_2__0_/mux_right_track_16/in[7] +set_disable_timing sb_2__0_/mux_top_track_18/in[3] +set_disable_timing sb_2__0_/mux_top_track_8/in[1] +set_disable_timing sb_2__0_/mux_right_track_0/in[8] +set_disable_timing sb_2__0_/mux_top_track_16/in[1] +################################################## +# Disable timing for Switch block sb_1__1_ +################################################## +set_disable_timing sb_2__1_/chany_top_out[0] +set_disable_timing sb_2__1_/chany_top_in[0] +set_disable_timing sb_2__1_/chany_top_out[1] +set_disable_timing sb_2__1_/chany_top_in[1] +set_disable_timing sb_2__1_/chany_top_out[2] +set_disable_timing sb_2__1_/chany_top_in[2] +set_disable_timing sb_2__1_/chany_top_out[3] +set_disable_timing sb_2__1_/chany_top_in[3] +set_disable_timing sb_2__1_/chany_top_out[4] +set_disable_timing sb_2__1_/chany_top_in[4] +set_disable_timing sb_2__1_/chany_top_out[5] +set_disable_timing sb_2__1_/chany_top_in[5] +set_disable_timing sb_2__1_/chany_top_out[6] +set_disable_timing sb_2__1_/chany_top_in[6] +set_disable_timing sb_2__1_/chany_top_out[7] +set_disable_timing sb_2__1_/chany_top_in[7] +set_disable_timing sb_2__1_/chany_top_out[8] +set_disable_timing sb_2__1_/chany_top_in[8] +set_disable_timing sb_2__1_/chany_top_out[9] +set_disable_timing sb_2__1_/chany_top_in[9] +set_disable_timing sb_2__1_/chanx_right_out[0] +set_disable_timing sb_2__1_/chanx_right_in[0] +set_disable_timing sb_2__1_/chanx_right_out[1] +set_disable_timing sb_2__1_/chanx_right_in[1] +set_disable_timing sb_2__1_/chanx_right_out[2] +set_disable_timing sb_2__1_/chanx_right_in[2] +set_disable_timing sb_2__1_/chanx_right_out[3] +set_disable_timing sb_2__1_/chanx_right_in[3] +set_disable_timing sb_2__1_/chanx_right_out[4] +set_disable_timing sb_2__1_/chanx_right_in[4] +set_disable_timing sb_2__1_/chanx_right_out[5] +set_disable_timing sb_2__1_/chanx_right_in[5] +set_disable_timing sb_2__1_/chanx_right_out[6] +set_disable_timing sb_2__1_/chanx_right_in[6] +set_disable_timing sb_2__1_/chanx_right_out[7] +set_disable_timing sb_2__1_/chanx_right_in[7] +set_disable_timing sb_2__1_/chanx_right_out[8] +set_disable_timing sb_2__1_/chanx_right_in[8] +set_disable_timing sb_2__1_/chanx_right_out[9] +set_disable_timing sb_2__1_/chanx_right_in[9] +set_disable_timing sb_2__1_/chany_bottom_in[0] +set_disable_timing sb_2__1_/chany_bottom_out[0] +set_disable_timing sb_2__1_/chany_bottom_in[1] +set_disable_timing sb_2__1_/chany_bottom_out[1] +set_disable_timing sb_2__1_/chany_bottom_in[2] +set_disable_timing sb_2__1_/chany_bottom_out[2] +set_disable_timing sb_2__1_/chany_bottom_in[3] +set_disable_timing sb_2__1_/chany_bottom_out[3] +set_disable_timing sb_2__1_/chany_bottom_in[4] +set_disable_timing sb_2__1_/chany_bottom_out[4] +set_disable_timing sb_2__1_/chany_bottom_in[5] +set_disable_timing sb_2__1_/chany_bottom_out[5] +set_disable_timing sb_2__1_/chany_bottom_in[6] +set_disable_timing sb_2__1_/chany_bottom_out[6] +set_disable_timing sb_2__1_/chany_bottom_in[7] +set_disable_timing sb_2__1_/chany_bottom_out[7] +set_disable_timing sb_2__1_/chany_bottom_in[8] +set_disable_timing sb_2__1_/chany_bottom_out[8] +set_disable_timing sb_2__1_/chany_bottom_in[9] +set_disable_timing sb_2__1_/chany_bottom_out[9] +set_disable_timing sb_2__1_/chanx_left_in[0] +set_disable_timing sb_2__1_/chanx_left_out[0] +set_disable_timing sb_2__1_/chanx_left_in[1] +set_disable_timing sb_2__1_/chanx_left_out[1] +set_disable_timing sb_2__1_/chanx_left_in[2] +set_disable_timing sb_2__1_/chanx_left_out[2] +set_disable_timing sb_2__1_/chanx_left_in[3] +set_disable_timing sb_2__1_/chanx_left_out[3] +set_disable_timing sb_2__1_/chanx_left_in[4] +set_disable_timing sb_2__1_/chanx_left_out[4] +set_disable_timing sb_2__1_/chanx_left_in[5] +set_disable_timing sb_2__1_/chanx_left_out[5] +set_disable_timing sb_2__1_/chanx_left_in[6] +set_disable_timing sb_2__1_/chanx_left_out[6] +set_disable_timing sb_2__1_/chanx_left_in[7] +set_disable_timing sb_2__1_/chanx_left_out[7] +set_disable_timing sb_2__1_/chanx_left_in[8] +set_disable_timing sb_2__1_/chanx_left_out[8] +set_disable_timing sb_2__1_/chanx_left_in[9] +set_disable_timing sb_2__1_/chanx_left_out[9] +set_disable_timing sb_2__1_/top_left_grid_right_width_0_height_0_subtile_0__pin_O_3_[0] +set_disable_timing sb_2__1_/top_right_grid_left_width_0_height_0_subtile_0__pin_O_1_[0] +set_disable_timing sb_2__1_/right_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_[0] +set_disable_timing sb_2__1_/right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_[0] +set_disable_timing sb_2__1_/bottom_right_grid_left_width_0_height_0_subtile_0__pin_O_1_[0] +set_disable_timing sb_2__1_/bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_3_[0] +set_disable_timing sb_2__1_/left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_[0] +set_disable_timing sb_2__1_/left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_[0] +set_disable_timing sb_2__1_/mux_top_track_0/in[0] +set_disable_timing sb_2__1_/mux_top_track_8/in[0] +set_disable_timing sb_2__1_/mux_right_track_0/in[3] +set_disable_timing sb_2__1_/mux_right_track_8/in[4] +set_disable_timing sb_2__1_/mux_bottom_track_1/in[6] +set_disable_timing sb_2__1_/mux_bottom_track_9/in[6] +set_disable_timing sb_2__1_/mux_left_track_1/in[10] +set_disable_timing sb_2__1_/mux_left_track_9/in[9] +set_disable_timing sb_2__1_/mux_right_track_8/in[0] +set_disable_timing sb_2__1_/mux_bottom_track_1/in[0] +set_disable_timing sb_2__1_/mux_left_track_1/in[0] +set_disable_timing sb_2__1_/mux_right_track_16/in[0] +set_disable_timing sb_2__1_/mux_bottom_track_9/in[0] +set_disable_timing sb_2__1_/mux_left_track_17/in[0] +set_disable_timing sb_2__1_/mux_right_track_0/in[0] +set_disable_timing sb_2__1_/mux_bottom_track_17/in[0] +set_disable_timing sb_2__1_/mux_left_track_9/in[0] +set_disable_timing sb_2__1_/mux_right_track_8/in[1] +set_disable_timing sb_2__1_/mux_left_track_1/in[1] +set_disable_timing sb_2__1_/mux_right_track_8/in[2] +set_disable_timing sb_2__1_/mux_bottom_track_1/in[1] +set_disable_timing sb_2__1_/mux_left_track_1/in[2] +set_disable_timing sb_2__1_/mux_right_track_16/in[1] +set_disable_timing sb_2__1_/mux_bottom_track_9/in[1] +set_disable_timing sb_2__1_/mux_left_track_17/in[1] +set_disable_timing sb_2__1_/mux_right_track_0/in[1] +set_disable_timing sb_2__1_/mux_bottom_track_17/in[1] +set_disable_timing sb_2__1_/mux_left_track_9/in[1] +set_disable_timing sb_2__1_/mux_right_track_16/in[2] +set_disable_timing sb_2__1_/mux_left_track_17/in[2] +set_disable_timing sb_2__1_/mux_right_track_8/in[3] +set_disable_timing sb_2__1_/mux_bottom_track_1/in[2] +set_disable_timing sb_2__1_/mux_left_track_1/in[3] +set_disable_timing sb_2__1_/mux_right_track_0/in[2] +set_disable_timing sb_2__1_/mux_left_track_9/in[2] +set_disable_timing sb_2__1_/mux_top_track_16/in[0] +set_disable_timing sb_2__1_/mux_bottom_track_9/in[2] +set_disable_timing sb_2__1_/mux_left_track_1/in[4] +set_disable_timing sb_2__1_/mux_top_track_0/in[1] +set_disable_timing sb_2__1_/mux_bottom_track_1/in[3] +set_disable_timing sb_2__1_/mux_left_track_9/in[3] +set_disable_timing sb_2__1_/mux_top_track_8/in[1] +set_disable_timing sb_2__1_/mux_bottom_track_17/in[2] +set_disable_timing sb_2__1_/mux_left_track_17/in[3] +set_disable_timing sb_2__1_/mux_top_track_16/in[1] +set_disable_timing sb_2__1_/mux_bottom_track_9/in[3] +set_disable_timing sb_2__1_/mux_top_track_16/in[2] +set_disable_timing sb_2__1_/mux_bottom_track_9/in[4] +set_disable_timing sb_2__1_/mux_left_track_1/in[5] +set_disable_timing sb_2__1_/mux_top_track_0/in[2] +set_disable_timing sb_2__1_/mux_bottom_track_1/in[4] +set_disable_timing sb_2__1_/mux_left_track_9/in[4] +set_disable_timing sb_2__1_/mux_top_track_8/in[2] +set_disable_timing sb_2__1_/mux_bottom_track_17/in[3] +set_disable_timing sb_2__1_/mux_left_track_17/in[4] +set_disable_timing sb_2__1_/mux_top_track_0/in[3] +set_disable_timing sb_2__1_/mux_bottom_track_1/in[5] +set_disable_timing sb_2__1_/mux_top_track_16/in[3] +set_disable_timing sb_2__1_/mux_bottom_track_9/in[5] +set_disable_timing sb_2__1_/mux_left_track_1/in[6] +set_disable_timing sb_2__1_/mux_top_track_8/in[3] +set_disable_timing sb_2__1_/mux_bottom_track_17/in[4] +set_disable_timing sb_2__1_/mux_top_track_0/in[4] +set_disable_timing sb_2__1_/mux_right_track_8/in[5] +set_disable_timing sb_2__1_/mux_left_track_9/in[5] +set_disable_timing sb_2__1_/mux_top_track_8/in[4] +set_disable_timing sb_2__1_/mux_right_track_0/in[4] +set_disable_timing sb_2__1_/mux_left_track_17/in[5] +set_disable_timing sb_2__1_/mux_top_track_16/in[4] +set_disable_timing sb_2__1_/mux_right_track_16/in[3] +set_disable_timing sb_2__1_/mux_left_track_1/in[7] +set_disable_timing sb_2__1_/mux_right_track_8/in[6] +set_disable_timing sb_2__1_/mux_left_track_9/in[6] +set_disable_timing sb_2__1_/mux_top_track_0/in[5] +set_disable_timing sb_2__1_/mux_right_track_8/in[7] +set_disable_timing sb_2__1_/mux_left_track_9/in[7] +set_disable_timing sb_2__1_/mux_top_track_8/in[5] +set_disable_timing sb_2__1_/mux_right_track_0/in[5] +set_disable_timing sb_2__1_/mux_left_track_17/in[6] +set_disable_timing sb_2__1_/mux_top_track_16/in[5] +set_disable_timing sb_2__1_/mux_right_track_16/in[4] +set_disable_timing sb_2__1_/mux_left_track_1/in[8] +set_disable_timing sb_2__1_/mux_right_track_0/in[6] +set_disable_timing sb_2__1_/mux_left_track_17/in[7] +set_disable_timing sb_2__1_/mux_top_track_0/in[6] +set_disable_timing sb_2__1_/mux_right_track_8/in[8] +set_disable_timing sb_2__1_/mux_left_track_9/in[8] +set_disable_timing sb_2__1_/mux_right_track_16/in[5] +set_disable_timing sb_2__1_/mux_left_track_1/in[9] +set_disable_timing sb_2__1_/mux_top_track_0/in[7] +set_disable_timing sb_2__1_/mux_right_track_0/in[7] +set_disable_timing sb_2__1_/mux_bottom_track_17/in[5] +set_disable_timing sb_2__1_/mux_top_track_16/in[6] +set_disable_timing sb_2__1_/mux_right_track_8/in[9] +set_disable_timing sb_2__1_/mux_bottom_track_1/in[7] +set_disable_timing sb_2__1_/mux_top_track_8/in[6] +set_disable_timing sb_2__1_/mux_right_track_16/in[6] +set_disable_timing sb_2__1_/mux_bottom_track_9/in[7] +set_disable_timing sb_2__1_/mux_top_track_0/in[8] +set_disable_timing sb_2__1_/mux_bottom_track_17/in[6] +set_disable_timing sb_2__1_/mux_top_track_0/in[9] +set_disable_timing sb_2__1_/mux_right_track_0/in[8] +set_disable_timing sb_2__1_/mux_bottom_track_17/in[7] +set_disable_timing sb_2__1_/mux_top_track_16/in[7] +set_disable_timing sb_2__1_/mux_right_track_8/in[10] +set_disable_timing sb_2__1_/mux_bottom_track_1/in[8] +set_disable_timing sb_2__1_/mux_top_track_8/in[7] +set_disable_timing sb_2__1_/mux_right_track_16/in[7] +set_disable_timing sb_2__1_/mux_bottom_track_9/in[8] +set_disable_timing sb_2__1_/mux_top_track_16/in[8] +set_disable_timing sb_2__1_/mux_bottom_track_1/in[9] +set_disable_timing sb_2__1_/mux_top_track_0/in[10] +set_disable_timing sb_2__1_/mux_right_track_0/in[9] +set_disable_timing sb_2__1_/mux_bottom_track_17/in[8] +set_disable_timing sb_2__1_/mux_top_track_8/in[8] +set_disable_timing sb_2__1_/mux_bottom_track_9/in[9] +################################################## +# Disable timing for Switch block sb_1__1_ +################################################## +set_disable_timing sb_2__2_/chany_top_out[0] +set_disable_timing sb_2__2_/chany_top_in[0] +set_disable_timing sb_2__2_/chany_top_out[1] +set_disable_timing sb_2__2_/chany_top_in[1] +set_disable_timing sb_2__2_/chany_top_out[2] +set_disable_timing sb_2__2_/chany_top_in[2] +set_disable_timing sb_2__2_/chany_top_out[3] +set_disable_timing sb_2__2_/chany_top_in[3] +set_disable_timing sb_2__2_/chany_top_out[4] +set_disable_timing sb_2__2_/chany_top_in[4] +set_disable_timing sb_2__2_/chany_top_out[5] +set_disable_timing sb_2__2_/chany_top_in[5] +set_disable_timing sb_2__2_/chany_top_out[6] +set_disable_timing sb_2__2_/chany_top_in[6] +set_disable_timing sb_2__2_/chany_top_out[7] +set_disable_timing sb_2__2_/chany_top_in[7] +set_disable_timing sb_2__2_/chany_top_out[8] +set_disable_timing sb_2__2_/chany_top_in[8] +set_disable_timing sb_2__2_/chany_top_out[9] +set_disable_timing sb_2__2_/chany_top_in[9] +set_disable_timing sb_2__2_/chanx_right_out[0] +set_disable_timing sb_2__2_/chanx_right_in[0] +set_disable_timing sb_2__2_/chanx_right_out[1] +set_disable_timing sb_2__2_/chanx_right_in[1] +set_disable_timing sb_2__2_/chanx_right_out[2] +set_disable_timing sb_2__2_/chanx_right_in[2] +set_disable_timing sb_2__2_/chanx_right_out[3] +set_disable_timing sb_2__2_/chanx_right_in[3] +set_disable_timing sb_2__2_/chanx_right_out[4] +set_disable_timing sb_2__2_/chanx_right_in[4] +set_disable_timing sb_2__2_/chanx_right_out[5] +set_disable_timing sb_2__2_/chanx_right_in[5] +set_disable_timing sb_2__2_/chanx_right_out[6] +set_disable_timing sb_2__2_/chanx_right_in[6] +set_disable_timing sb_2__2_/chanx_right_out[7] +set_disable_timing sb_2__2_/chanx_right_in[7] +set_disable_timing sb_2__2_/chanx_right_out[8] +set_disable_timing sb_2__2_/chanx_right_in[8] +set_disable_timing sb_2__2_/chanx_right_out[9] +set_disable_timing sb_2__2_/chanx_right_in[9] +set_disable_timing sb_2__2_/chany_bottom_in[0] +set_disable_timing sb_2__2_/chany_bottom_out[0] +set_disable_timing sb_2__2_/chany_bottom_in[1] +set_disable_timing sb_2__2_/chany_bottom_out[1] +set_disable_timing sb_2__2_/chany_bottom_in[2] +set_disable_timing sb_2__2_/chany_bottom_out[2] +set_disable_timing sb_2__2_/chany_bottom_in[3] +set_disable_timing sb_2__2_/chany_bottom_out[3] +set_disable_timing sb_2__2_/chany_bottom_in[4] +set_disable_timing sb_2__2_/chany_bottom_out[4] +set_disable_timing sb_2__2_/chany_bottom_in[5] +set_disable_timing sb_2__2_/chany_bottom_out[5] +set_disable_timing sb_2__2_/chany_bottom_in[6] +set_disable_timing sb_2__2_/chany_bottom_out[6] +set_disable_timing sb_2__2_/chany_bottom_in[7] +set_disable_timing sb_2__2_/chany_bottom_out[7] +set_disable_timing sb_2__2_/chany_bottom_in[8] +set_disable_timing sb_2__2_/chany_bottom_out[8] +set_disable_timing sb_2__2_/chany_bottom_in[9] +set_disable_timing sb_2__2_/chany_bottom_out[9] +set_disable_timing sb_2__2_/chanx_left_in[0] +set_disable_timing sb_2__2_/chanx_left_out[0] +set_disable_timing sb_2__2_/chanx_left_in[1] +set_disable_timing sb_2__2_/chanx_left_out[1] +set_disable_timing sb_2__2_/chanx_left_in[2] +set_disable_timing sb_2__2_/chanx_left_out[2] +set_disable_timing sb_2__2_/chanx_left_in[3] +set_disable_timing sb_2__2_/chanx_left_out[3] +set_disable_timing sb_2__2_/chanx_left_in[4] +set_disable_timing sb_2__2_/chanx_left_out[4] +set_disable_timing sb_2__2_/chanx_left_in[5] +set_disable_timing sb_2__2_/chanx_left_out[5] +set_disable_timing sb_2__2_/chanx_left_in[6] +set_disable_timing sb_2__2_/chanx_left_out[6] +set_disable_timing sb_2__2_/chanx_left_in[7] +set_disable_timing sb_2__2_/chanx_left_out[7] +set_disable_timing sb_2__2_/chanx_left_in[8] +set_disable_timing sb_2__2_/chanx_left_out[8] +set_disable_timing sb_2__2_/chanx_left_in[9] +set_disable_timing sb_2__2_/chanx_left_out[9] +set_disable_timing sb_2__2_/top_left_grid_right_width_0_height_0_subtile_0__pin_O_3_[0] +set_disable_timing sb_2__2_/top_right_grid_left_width_0_height_0_subtile_0__pin_O_1_[0] +set_disable_timing sb_2__2_/right_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_[0] +set_disable_timing sb_2__2_/right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_[0] +set_disable_timing sb_2__2_/bottom_right_grid_left_width_0_height_0_subtile_0__pin_O_1_[0] +set_disable_timing sb_2__2_/bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_3_[0] +set_disable_timing sb_2__2_/left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_[0] +set_disable_timing sb_2__2_/left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_[0] +set_disable_timing sb_2__2_/mux_top_track_0/in[0] +set_disable_timing sb_2__2_/mux_top_track_8/in[0] +set_disable_timing sb_2__2_/mux_right_track_0/in[3] +set_disable_timing sb_2__2_/mux_right_track_8/in[4] +set_disable_timing sb_2__2_/mux_bottom_track_1/in[6] +set_disable_timing sb_2__2_/mux_bottom_track_9/in[6] +set_disable_timing sb_2__2_/mux_left_track_1/in[10] +set_disable_timing sb_2__2_/mux_left_track_9/in[9] +set_disable_timing sb_2__2_/mux_right_track_8/in[0] +set_disable_timing sb_2__2_/mux_bottom_track_1/in[0] +set_disable_timing sb_2__2_/mux_left_track_1/in[0] +set_disable_timing sb_2__2_/mux_right_track_16/in[0] +set_disable_timing sb_2__2_/mux_bottom_track_9/in[0] +set_disable_timing sb_2__2_/mux_left_track_17/in[0] +set_disable_timing sb_2__2_/mux_right_track_0/in[0] +set_disable_timing sb_2__2_/mux_bottom_track_17/in[0] +set_disable_timing sb_2__2_/mux_left_track_9/in[0] +set_disable_timing sb_2__2_/mux_right_track_8/in[1] +set_disable_timing sb_2__2_/mux_left_track_1/in[1] +set_disable_timing sb_2__2_/mux_right_track_8/in[2] +set_disable_timing sb_2__2_/mux_bottom_track_1/in[1] +set_disable_timing sb_2__2_/mux_left_track_1/in[2] +set_disable_timing sb_2__2_/mux_right_track_16/in[1] +set_disable_timing sb_2__2_/mux_bottom_track_9/in[1] +set_disable_timing sb_2__2_/mux_left_track_17/in[1] +set_disable_timing sb_2__2_/mux_right_track_0/in[1] +set_disable_timing sb_2__2_/mux_bottom_track_17/in[1] +set_disable_timing sb_2__2_/mux_left_track_9/in[1] +set_disable_timing sb_2__2_/mux_right_track_16/in[2] +set_disable_timing sb_2__2_/mux_left_track_17/in[2] +set_disable_timing sb_2__2_/mux_right_track_8/in[3] +set_disable_timing sb_2__2_/mux_bottom_track_1/in[2] +set_disable_timing sb_2__2_/mux_left_track_1/in[3] +set_disable_timing sb_2__2_/mux_right_track_0/in[2] +set_disable_timing sb_2__2_/mux_left_track_9/in[2] +set_disable_timing sb_2__2_/mux_top_track_16/in[0] +set_disable_timing sb_2__2_/mux_bottom_track_9/in[2] +set_disable_timing sb_2__2_/mux_left_track_1/in[4] +set_disable_timing sb_2__2_/mux_top_track_0/in[1] +set_disable_timing sb_2__2_/mux_bottom_track_1/in[3] +set_disable_timing sb_2__2_/mux_left_track_9/in[3] +set_disable_timing sb_2__2_/mux_top_track_8/in[1] +set_disable_timing sb_2__2_/mux_bottom_track_17/in[2] +set_disable_timing sb_2__2_/mux_left_track_17/in[3] +set_disable_timing sb_2__2_/mux_top_track_16/in[1] +set_disable_timing sb_2__2_/mux_bottom_track_9/in[3] +set_disable_timing sb_2__2_/mux_top_track_16/in[2] +set_disable_timing sb_2__2_/mux_bottom_track_9/in[4] +set_disable_timing sb_2__2_/mux_left_track_1/in[5] +set_disable_timing sb_2__2_/mux_top_track_0/in[2] +set_disable_timing sb_2__2_/mux_bottom_track_1/in[4] +set_disable_timing sb_2__2_/mux_left_track_9/in[4] +set_disable_timing sb_2__2_/mux_top_track_8/in[2] +set_disable_timing sb_2__2_/mux_bottom_track_17/in[3] +set_disable_timing sb_2__2_/mux_left_track_17/in[4] +set_disable_timing sb_2__2_/mux_top_track_0/in[3] +set_disable_timing sb_2__2_/mux_bottom_track_1/in[5] +set_disable_timing sb_2__2_/mux_top_track_16/in[3] +set_disable_timing sb_2__2_/mux_bottom_track_9/in[5] +set_disable_timing sb_2__2_/mux_left_track_1/in[6] +set_disable_timing sb_2__2_/mux_top_track_8/in[3] +set_disable_timing sb_2__2_/mux_bottom_track_17/in[4] +set_disable_timing sb_2__2_/mux_top_track_0/in[4] +set_disable_timing sb_2__2_/mux_right_track_8/in[5] +set_disable_timing sb_2__2_/mux_left_track_9/in[5] +set_disable_timing sb_2__2_/mux_top_track_8/in[4] +set_disable_timing sb_2__2_/mux_right_track_0/in[4] +set_disable_timing sb_2__2_/mux_left_track_17/in[5] +set_disable_timing sb_2__2_/mux_top_track_16/in[4] +set_disable_timing sb_2__2_/mux_right_track_16/in[3] +set_disable_timing sb_2__2_/mux_left_track_1/in[7] +set_disable_timing sb_2__2_/mux_right_track_8/in[6] +set_disable_timing sb_2__2_/mux_left_track_9/in[6] +set_disable_timing sb_2__2_/mux_top_track_0/in[5] +set_disable_timing sb_2__2_/mux_right_track_8/in[7] +set_disable_timing sb_2__2_/mux_left_track_9/in[7] +set_disable_timing sb_2__2_/mux_top_track_8/in[5] +set_disable_timing sb_2__2_/mux_right_track_0/in[5] +set_disable_timing sb_2__2_/mux_left_track_17/in[6] +set_disable_timing sb_2__2_/mux_top_track_16/in[5] +set_disable_timing sb_2__2_/mux_right_track_16/in[4] +set_disable_timing sb_2__2_/mux_left_track_1/in[8] +set_disable_timing sb_2__2_/mux_right_track_0/in[6] +set_disable_timing sb_2__2_/mux_left_track_17/in[7] +set_disable_timing sb_2__2_/mux_top_track_0/in[6] +set_disable_timing sb_2__2_/mux_right_track_8/in[8] +set_disable_timing sb_2__2_/mux_left_track_9/in[8] +set_disable_timing sb_2__2_/mux_right_track_16/in[5] +set_disable_timing sb_2__2_/mux_left_track_1/in[9] +set_disable_timing sb_2__2_/mux_top_track_0/in[7] +set_disable_timing sb_2__2_/mux_right_track_0/in[7] +set_disable_timing sb_2__2_/mux_bottom_track_17/in[5] +set_disable_timing sb_2__2_/mux_top_track_16/in[6] +set_disable_timing sb_2__2_/mux_right_track_8/in[9] +set_disable_timing sb_2__2_/mux_bottom_track_1/in[7] +set_disable_timing sb_2__2_/mux_top_track_8/in[6] +set_disable_timing sb_2__2_/mux_right_track_16/in[6] +set_disable_timing sb_2__2_/mux_bottom_track_9/in[7] +set_disable_timing sb_2__2_/mux_top_track_0/in[8] +set_disable_timing sb_2__2_/mux_bottom_track_17/in[6] +set_disable_timing sb_2__2_/mux_top_track_0/in[9] +set_disable_timing sb_2__2_/mux_right_track_0/in[8] +set_disable_timing sb_2__2_/mux_bottom_track_17/in[7] +set_disable_timing sb_2__2_/mux_top_track_16/in[7] +set_disable_timing sb_2__2_/mux_right_track_8/in[10] +set_disable_timing sb_2__2_/mux_bottom_track_1/in[8] +set_disable_timing sb_2__2_/mux_top_track_8/in[7] +set_disable_timing sb_2__2_/mux_right_track_16/in[7] +set_disable_timing sb_2__2_/mux_bottom_track_9/in[8] +set_disable_timing sb_2__2_/mux_top_track_16/in[8] +set_disable_timing sb_2__2_/mux_bottom_track_1/in[9] +set_disable_timing sb_2__2_/mux_top_track_0/in[10] +set_disable_timing sb_2__2_/mux_right_track_0/in[9] +set_disable_timing sb_2__2_/mux_bottom_track_17/in[8] +set_disable_timing sb_2__2_/mux_top_track_8/in[8] +set_disable_timing sb_2__2_/mux_bottom_track_9/in[9] +################################################## +# Disable timing for Switch block sb_1__1_ +################################################## +set_disable_timing sb_2__3_/chany_top_out[0] +set_disable_timing sb_2__3_/chany_top_in[0] +set_disable_timing sb_2__3_/chany_top_out[1] +set_disable_timing sb_2__3_/chany_top_in[1] +set_disable_timing sb_2__3_/chany_top_out[2] +set_disable_timing sb_2__3_/chany_top_in[2] +set_disable_timing sb_2__3_/chany_top_out[3] +set_disable_timing sb_2__3_/chany_top_in[3] +set_disable_timing sb_2__3_/chany_top_out[4] +set_disable_timing sb_2__3_/chany_top_in[4] +set_disable_timing sb_2__3_/chany_top_out[5] +set_disable_timing sb_2__3_/chany_top_in[5] +set_disable_timing sb_2__3_/chany_top_out[6] +set_disable_timing sb_2__3_/chany_top_in[6] +set_disable_timing sb_2__3_/chany_top_out[7] +set_disable_timing sb_2__3_/chany_top_in[7] +set_disable_timing sb_2__3_/chany_top_out[8] +set_disable_timing sb_2__3_/chany_top_in[8] +set_disable_timing sb_2__3_/chany_top_out[9] +set_disable_timing sb_2__3_/chany_top_in[9] +set_disable_timing sb_2__3_/chanx_right_out[0] +set_disable_timing sb_2__3_/chanx_right_in[0] +set_disable_timing sb_2__3_/chanx_right_out[1] +set_disable_timing sb_2__3_/chanx_right_in[1] +set_disable_timing sb_2__3_/chanx_right_out[2] +set_disable_timing sb_2__3_/chanx_right_in[2] +set_disable_timing sb_2__3_/chanx_right_out[3] +set_disable_timing sb_2__3_/chanx_right_in[3] +set_disable_timing sb_2__3_/chanx_right_out[4] +set_disable_timing sb_2__3_/chanx_right_in[4] +set_disable_timing sb_2__3_/chanx_right_out[5] +set_disable_timing sb_2__3_/chanx_right_in[5] +set_disable_timing sb_2__3_/chanx_right_out[6] +set_disable_timing sb_2__3_/chanx_right_in[6] +set_disable_timing sb_2__3_/chanx_right_out[7] +set_disable_timing sb_2__3_/chanx_right_in[7] +set_disable_timing sb_2__3_/chanx_right_out[8] +set_disable_timing sb_2__3_/chanx_right_in[8] +set_disable_timing sb_2__3_/chanx_right_out[9] +set_disable_timing sb_2__3_/chanx_right_in[9] +set_disable_timing sb_2__3_/chany_bottom_in[0] +set_disable_timing sb_2__3_/chany_bottom_out[0] +set_disable_timing sb_2__3_/chany_bottom_in[1] +set_disable_timing sb_2__3_/chany_bottom_out[1] +set_disable_timing sb_2__3_/chany_bottom_in[2] +set_disable_timing sb_2__3_/chany_bottom_out[2] +set_disable_timing sb_2__3_/chany_bottom_in[3] +set_disable_timing sb_2__3_/chany_bottom_out[3] +set_disable_timing sb_2__3_/chany_bottom_in[4] +set_disable_timing sb_2__3_/chany_bottom_out[4] +set_disable_timing sb_2__3_/chany_bottom_in[5] +set_disable_timing sb_2__3_/chany_bottom_out[5] +set_disable_timing sb_2__3_/chany_bottom_in[6] +set_disable_timing sb_2__3_/chany_bottom_out[6] +set_disable_timing sb_2__3_/chany_bottom_in[7] +set_disable_timing sb_2__3_/chany_bottom_out[7] +set_disable_timing sb_2__3_/chany_bottom_in[8] +set_disable_timing sb_2__3_/chany_bottom_out[8] +set_disable_timing sb_2__3_/chany_bottom_in[9] +set_disable_timing sb_2__3_/chany_bottom_out[9] +set_disable_timing sb_2__3_/chanx_left_in[0] +set_disable_timing sb_2__3_/chanx_left_out[0] +set_disable_timing sb_2__3_/chanx_left_in[1] +set_disable_timing sb_2__3_/chanx_left_out[1] +set_disable_timing sb_2__3_/chanx_left_in[2] +set_disable_timing sb_2__3_/chanx_left_out[2] +set_disable_timing sb_2__3_/chanx_left_in[3] +set_disable_timing sb_2__3_/chanx_left_out[3] +set_disable_timing sb_2__3_/chanx_left_in[4] +set_disable_timing sb_2__3_/chanx_left_out[4] +set_disable_timing sb_2__3_/chanx_left_in[5] +set_disable_timing sb_2__3_/chanx_left_out[5] +set_disable_timing sb_2__3_/chanx_left_in[6] +set_disable_timing sb_2__3_/chanx_left_out[6] +set_disable_timing sb_2__3_/chanx_left_in[7] +set_disable_timing sb_2__3_/chanx_left_out[7] +set_disable_timing sb_2__3_/chanx_left_in[8] +set_disable_timing sb_2__3_/chanx_left_out[8] +set_disable_timing sb_2__3_/chanx_left_in[9] +set_disable_timing sb_2__3_/chanx_left_out[9] +set_disable_timing sb_2__3_/top_left_grid_right_width_0_height_0_subtile_0__pin_O_3_[0] +set_disable_timing sb_2__3_/top_right_grid_left_width_0_height_0_subtile_0__pin_O_1_[0] +set_disable_timing sb_2__3_/right_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_[0] +set_disable_timing sb_2__3_/right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_[0] +set_disable_timing sb_2__3_/bottom_right_grid_left_width_0_height_0_subtile_0__pin_O_1_[0] +set_disable_timing sb_2__3_/bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_3_[0] +set_disable_timing sb_2__3_/left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_[0] +set_disable_timing sb_2__3_/left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_[0] +set_disable_timing sb_2__3_/mux_top_track_0/in[0] +set_disable_timing sb_2__3_/mux_top_track_8/in[0] +set_disable_timing sb_2__3_/mux_right_track_0/in[3] +set_disable_timing sb_2__3_/mux_right_track_8/in[4] +set_disable_timing sb_2__3_/mux_bottom_track_1/in[6] +set_disable_timing sb_2__3_/mux_bottom_track_9/in[6] +set_disable_timing sb_2__3_/mux_left_track_1/in[10] +set_disable_timing sb_2__3_/mux_left_track_9/in[9] +set_disable_timing sb_2__3_/mux_right_track_8/in[0] +set_disable_timing sb_2__3_/mux_bottom_track_1/in[0] +set_disable_timing sb_2__3_/mux_left_track_1/in[0] +set_disable_timing sb_2__3_/mux_right_track_16/in[0] +set_disable_timing sb_2__3_/mux_bottom_track_9/in[0] +set_disable_timing sb_2__3_/mux_left_track_17/in[0] +set_disable_timing sb_2__3_/mux_right_track_0/in[0] +set_disable_timing sb_2__3_/mux_bottom_track_17/in[0] +set_disable_timing sb_2__3_/mux_left_track_9/in[0] +set_disable_timing sb_2__3_/mux_right_track_8/in[1] +set_disable_timing sb_2__3_/mux_left_track_1/in[1] +set_disable_timing sb_2__3_/mux_right_track_8/in[2] +set_disable_timing sb_2__3_/mux_bottom_track_1/in[1] +set_disable_timing sb_2__3_/mux_left_track_1/in[2] +set_disable_timing sb_2__3_/mux_right_track_16/in[1] +set_disable_timing sb_2__3_/mux_bottom_track_9/in[1] +set_disable_timing sb_2__3_/mux_left_track_17/in[1] +set_disable_timing sb_2__3_/mux_right_track_0/in[1] +set_disable_timing sb_2__3_/mux_bottom_track_17/in[1] +set_disable_timing sb_2__3_/mux_left_track_9/in[1] +set_disable_timing sb_2__3_/mux_right_track_16/in[2] +set_disable_timing sb_2__3_/mux_left_track_17/in[2] +set_disable_timing sb_2__3_/mux_right_track_8/in[3] +set_disable_timing sb_2__3_/mux_bottom_track_1/in[2] +set_disable_timing sb_2__3_/mux_left_track_1/in[3] +set_disable_timing sb_2__3_/mux_right_track_0/in[2] +set_disable_timing sb_2__3_/mux_left_track_9/in[2] +set_disable_timing sb_2__3_/mux_top_track_16/in[0] +set_disable_timing sb_2__3_/mux_bottom_track_9/in[2] +set_disable_timing sb_2__3_/mux_left_track_1/in[4] +set_disable_timing sb_2__3_/mux_top_track_0/in[1] +set_disable_timing sb_2__3_/mux_bottom_track_1/in[3] +set_disable_timing sb_2__3_/mux_left_track_9/in[3] +set_disable_timing sb_2__3_/mux_top_track_8/in[1] +set_disable_timing sb_2__3_/mux_bottom_track_17/in[2] +set_disable_timing sb_2__3_/mux_left_track_17/in[3] +set_disable_timing sb_2__3_/mux_top_track_16/in[1] +set_disable_timing sb_2__3_/mux_bottom_track_9/in[3] +set_disable_timing sb_2__3_/mux_top_track_16/in[2] +set_disable_timing sb_2__3_/mux_bottom_track_9/in[4] +set_disable_timing sb_2__3_/mux_left_track_1/in[5] +set_disable_timing sb_2__3_/mux_top_track_0/in[2] +set_disable_timing sb_2__3_/mux_bottom_track_1/in[4] +set_disable_timing sb_2__3_/mux_left_track_9/in[4] +set_disable_timing sb_2__3_/mux_top_track_8/in[2] +set_disable_timing sb_2__3_/mux_bottom_track_17/in[3] +set_disable_timing sb_2__3_/mux_left_track_17/in[4] +set_disable_timing sb_2__3_/mux_top_track_0/in[3] +set_disable_timing sb_2__3_/mux_bottom_track_1/in[5] +set_disable_timing sb_2__3_/mux_top_track_16/in[3] +set_disable_timing sb_2__3_/mux_bottom_track_9/in[5] +set_disable_timing sb_2__3_/mux_left_track_1/in[6] +set_disable_timing sb_2__3_/mux_top_track_8/in[3] +set_disable_timing sb_2__3_/mux_bottom_track_17/in[4] +set_disable_timing sb_2__3_/mux_top_track_0/in[4] +set_disable_timing sb_2__3_/mux_right_track_8/in[5] +set_disable_timing sb_2__3_/mux_left_track_9/in[5] +set_disable_timing sb_2__3_/mux_top_track_8/in[4] +set_disable_timing sb_2__3_/mux_right_track_0/in[4] +set_disable_timing sb_2__3_/mux_left_track_17/in[5] +set_disable_timing sb_2__3_/mux_top_track_16/in[4] +set_disable_timing sb_2__3_/mux_right_track_16/in[3] +set_disable_timing sb_2__3_/mux_left_track_1/in[7] +set_disable_timing sb_2__3_/mux_right_track_8/in[6] +set_disable_timing sb_2__3_/mux_left_track_9/in[6] +set_disable_timing sb_2__3_/mux_top_track_0/in[5] +set_disable_timing sb_2__3_/mux_right_track_8/in[7] +set_disable_timing sb_2__3_/mux_left_track_9/in[7] +set_disable_timing sb_2__3_/mux_top_track_8/in[5] +set_disable_timing sb_2__3_/mux_right_track_0/in[5] +set_disable_timing sb_2__3_/mux_left_track_17/in[6] +set_disable_timing sb_2__3_/mux_top_track_16/in[5] +set_disable_timing sb_2__3_/mux_right_track_16/in[4] +set_disable_timing sb_2__3_/mux_left_track_1/in[8] +set_disable_timing sb_2__3_/mux_right_track_0/in[6] +set_disable_timing sb_2__3_/mux_left_track_17/in[7] +set_disable_timing sb_2__3_/mux_top_track_0/in[6] +set_disable_timing sb_2__3_/mux_right_track_8/in[8] +set_disable_timing sb_2__3_/mux_left_track_9/in[8] +set_disable_timing sb_2__3_/mux_right_track_16/in[5] +set_disable_timing sb_2__3_/mux_left_track_1/in[9] +set_disable_timing sb_2__3_/mux_top_track_0/in[7] +set_disable_timing sb_2__3_/mux_right_track_0/in[7] +set_disable_timing sb_2__3_/mux_bottom_track_17/in[5] +set_disable_timing sb_2__3_/mux_top_track_16/in[6] +set_disable_timing sb_2__3_/mux_right_track_8/in[9] +set_disable_timing sb_2__3_/mux_bottom_track_1/in[7] +set_disable_timing sb_2__3_/mux_top_track_8/in[6] +set_disable_timing sb_2__3_/mux_right_track_16/in[6] +set_disable_timing sb_2__3_/mux_bottom_track_9/in[7] +set_disable_timing sb_2__3_/mux_top_track_0/in[8] +set_disable_timing sb_2__3_/mux_bottom_track_17/in[6] +set_disable_timing sb_2__3_/mux_top_track_0/in[9] +set_disable_timing sb_2__3_/mux_right_track_0/in[8] +set_disable_timing sb_2__3_/mux_bottom_track_17/in[7] +set_disable_timing sb_2__3_/mux_top_track_16/in[7] +set_disable_timing sb_2__3_/mux_right_track_8/in[10] +set_disable_timing sb_2__3_/mux_bottom_track_1/in[8] +set_disable_timing sb_2__3_/mux_top_track_8/in[7] +set_disable_timing sb_2__3_/mux_right_track_16/in[7] +set_disable_timing sb_2__3_/mux_bottom_track_9/in[8] +set_disable_timing sb_2__3_/mux_top_track_16/in[8] +set_disable_timing sb_2__3_/mux_bottom_track_1/in[9] +set_disable_timing sb_2__3_/mux_top_track_0/in[10] +set_disable_timing sb_2__3_/mux_right_track_0/in[9] +set_disable_timing sb_2__3_/mux_bottom_track_17/in[8] +set_disable_timing sb_2__3_/mux_top_track_8/in[8] +set_disable_timing sb_2__3_/mux_bottom_track_9/in[9] +################################################## +# Disable timing for Switch block sb_1__4_ +################################################## +set_disable_timing sb_2__4_/chanx_right_out[0] +set_disable_timing sb_2__4_/chanx_right_in[0] +set_disable_timing sb_2__4_/chanx_right_out[1] +set_disable_timing sb_2__4_/chanx_right_in[1] +set_disable_timing sb_2__4_/chanx_right_out[2] +set_disable_timing sb_2__4_/chanx_right_in[2] +set_disable_timing sb_2__4_/chanx_right_out[3] +set_disable_timing sb_2__4_/chanx_right_in[3] +set_disable_timing sb_2__4_/chanx_right_out[4] +set_disable_timing sb_2__4_/chanx_right_in[4] +set_disable_timing sb_2__4_/chanx_right_out[5] +set_disable_timing sb_2__4_/chanx_right_in[5] +set_disable_timing sb_2__4_/chanx_right_out[6] +set_disable_timing sb_2__4_/chanx_right_in[6] +set_disable_timing sb_2__4_/chanx_right_out[7] +set_disable_timing sb_2__4_/chanx_right_in[7] +set_disable_timing sb_2__4_/chanx_right_out[8] +set_disable_timing sb_2__4_/chanx_right_in[8] +set_disable_timing sb_2__4_/chanx_right_out[9] +set_disable_timing sb_2__4_/chanx_right_in[9] +set_disable_timing sb_2__4_/chany_bottom_in[0] +set_disable_timing sb_2__4_/chany_bottom_out[0] +set_disable_timing sb_2__4_/chany_bottom_in[1] +set_disable_timing sb_2__4_/chany_bottom_out[1] +set_disable_timing sb_2__4_/chany_bottom_in[2] +set_disable_timing sb_2__4_/chany_bottom_out[2] +set_disable_timing sb_2__4_/chany_bottom_in[3] +set_disable_timing sb_2__4_/chany_bottom_out[3] +set_disable_timing sb_2__4_/chany_bottom_in[4] +set_disable_timing sb_2__4_/chany_bottom_out[4] +set_disable_timing sb_2__4_/chany_bottom_in[5] +set_disable_timing sb_2__4_/chany_bottom_out[5] +set_disable_timing sb_2__4_/chany_bottom_in[6] +set_disable_timing sb_2__4_/chany_bottom_out[6] +set_disable_timing sb_2__4_/chany_bottom_in[7] +set_disable_timing sb_2__4_/chany_bottom_out[7] +set_disable_timing sb_2__4_/chany_bottom_in[8] +set_disable_timing sb_2__4_/chany_bottom_out[8] +set_disable_timing sb_2__4_/chany_bottom_in[9] +set_disable_timing sb_2__4_/chany_bottom_out[9] +set_disable_timing sb_2__4_/chanx_left_in[0] +set_disable_timing sb_2__4_/chanx_left_out[0] +set_disable_timing sb_2__4_/chanx_left_in[1] +set_disable_timing sb_2__4_/chanx_left_out[1] +set_disable_timing sb_2__4_/chanx_left_in[2] +set_disable_timing sb_2__4_/chanx_left_out[2] +set_disable_timing sb_2__4_/chanx_left_in[3] +set_disable_timing sb_2__4_/chanx_left_out[3] +set_disable_timing sb_2__4_/chanx_left_in[4] +set_disable_timing sb_2__4_/chanx_left_out[4] +set_disable_timing sb_2__4_/chanx_left_in[5] +set_disable_timing sb_2__4_/chanx_left_out[5] +set_disable_timing sb_2__4_/chanx_left_in[6] +set_disable_timing sb_2__4_/chanx_left_out[6] +set_disable_timing sb_2__4_/chanx_left_in[7] +set_disable_timing sb_2__4_/chanx_left_out[7] +set_disable_timing sb_2__4_/chanx_left_in[8] +set_disable_timing sb_2__4_/chanx_left_out[8] +set_disable_timing sb_2__4_/chanx_left_in[9] +set_disable_timing sb_2__4_/chanx_left_out[9] +set_disable_timing sb_2__4_/right_top_grid_bottom_width_0_height_0_subtile_0__pin_inpad_0_[0] +set_disable_timing sb_2__4_/right_top_grid_bottom_width_0_height_0_subtile_1__pin_inpad_0_[0] +set_disable_timing sb_2__4_/right_top_grid_bottom_width_0_height_0_subtile_2__pin_inpad_0_[0] +set_disable_timing sb_2__4_/right_top_grid_bottom_width_0_height_0_subtile_3__pin_inpad_0_[0] +set_disable_timing sb_2__4_/right_top_grid_bottom_width_0_height_0_subtile_4__pin_inpad_0_[0] +set_disable_timing sb_2__4_/right_top_grid_bottom_width_0_height_0_subtile_5__pin_inpad_0_[0] +set_disable_timing sb_2__4_/right_top_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_[0] +set_disable_timing sb_2__4_/right_top_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_[0] +set_disable_timing sb_2__4_/right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_[0] +set_disable_timing sb_2__4_/bottom_right_grid_left_width_0_height_0_subtile_0__pin_O_1_[0] +set_disable_timing sb_2__4_/bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_3_[0] +set_disable_timing sb_2__4_/left_top_grid_bottom_width_0_height_0_subtile_0__pin_inpad_0_[0] +set_disable_timing sb_2__4_/left_top_grid_bottom_width_0_height_0_subtile_1__pin_inpad_0_[0] +set_disable_timing sb_2__4_/left_top_grid_bottom_width_0_height_0_subtile_2__pin_inpad_0_[0] +set_disable_timing sb_2__4_/left_top_grid_bottom_width_0_height_0_subtile_3__pin_inpad_0_[0] +set_disable_timing sb_2__4_/left_top_grid_bottom_width_0_height_0_subtile_4__pin_inpad_0_[0] +set_disable_timing sb_2__4_/left_top_grid_bottom_width_0_height_0_subtile_5__pin_inpad_0_[0] +set_disable_timing sb_2__4_/left_top_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_[0] +set_disable_timing sb_2__4_/left_top_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_[0] +set_disable_timing sb_2__4_/left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_[0] +set_disable_timing sb_2__4_/mux_right_track_0/in[0] +set_disable_timing sb_2__4_/mux_right_track_8/in[0] +set_disable_timing sb_2__4_/mux_right_track_16/in[0] +set_disable_timing sb_2__4_/mux_right_track_0/in[1] +set_disable_timing sb_2__4_/mux_right_track_8/in[1] +set_disable_timing sb_2__4_/mux_right_track_16/in[1] +set_disable_timing sb_2__4_/mux_right_track_0/in[2] +set_disable_timing sb_2__4_/mux_right_track_8/in[2] +set_disable_timing sb_2__4_/mux_right_track_16/in[2] +set_disable_timing sb_2__4_/mux_bottom_track_1/in[0] +set_disable_timing sb_2__4_/mux_bottom_track_3/in[0] +set_disable_timing sb_2__4_/mux_left_track_1/in[6] +set_disable_timing sb_2__4_/mux_left_track_9/in[6] +set_disable_timing sb_2__4_/mux_left_track_17/in[5] +set_disable_timing sb_2__4_/mux_left_track_1/in[7] +set_disable_timing sb_2__4_/mux_left_track_9/in[7] +set_disable_timing sb_2__4_/mux_left_track_17/in[6] +set_disable_timing sb_2__4_/mux_left_track_1/in[8] +set_disable_timing sb_2__4_/mux_left_track_9/in[8] +set_disable_timing sb_2__4_/mux_left_track_17/in[7] +set_disable_timing sb_2__4_/mux_bottom_track_17/in[0] +set_disable_timing sb_2__4_/mux_left_track_1/in[0] +set_disable_timing sb_2__4_/mux_bottom_track_15/in[0] +set_disable_timing sb_2__4_/mux_left_track_9/in[0] +set_disable_timing sb_2__4_/mux_bottom_track_13/in[0] +set_disable_timing sb_2__4_/mux_left_track_17/in[0] +set_disable_timing sb_2__4_/mux_bottom_track_17/in[1] +set_disable_timing sb_2__4_/mux_bottom_track_11/in[0] +set_disable_timing sb_2__4_/mux_left_track_1/in[1] +set_disable_timing sb_2__4_/mux_bottom_track_9/in[0] +set_disable_timing sb_2__4_/mux_left_track_9/in[1] +set_disable_timing sb_2__4_/mux_bottom_track_7/in[0] +set_disable_timing sb_2__4_/mux_left_track_17/in[1] +set_disable_timing sb_2__4_/mux_bottom_track_15/in[1] +set_disable_timing sb_2__4_/mux_bottom_track_5/in[0] +set_disable_timing sb_2__4_/mux_left_track_1/in[2] +set_disable_timing sb_2__4_/mux_bottom_track_13/in[1] +set_disable_timing sb_2__4_/mux_right_track_8/in[3] +set_disable_timing sb_2__4_/mux_left_track_9/in[2] +set_disable_timing sb_2__4_/mux_right_track_0/in[3] +set_disable_timing sb_2__4_/mux_left_track_17/in[2] +set_disable_timing sb_2__4_/mux_right_track_16/in[3] +set_disable_timing sb_2__4_/mux_left_track_1/in[3] +set_disable_timing sb_2__4_/mux_right_track_8/in[4] +set_disable_timing sb_2__4_/mux_left_track_9/in[3] +set_disable_timing sb_2__4_/mux_right_track_0/in[4] +set_disable_timing sb_2__4_/mux_left_track_17/in[3] +set_disable_timing sb_2__4_/mux_right_track_16/in[4] +set_disable_timing sb_2__4_/mux_left_track_1/in[4] +set_disable_timing sb_2__4_/mux_right_track_8/in[5] +set_disable_timing sb_2__4_/mux_left_track_9/in[4] +set_disable_timing sb_2__4_/mux_right_track_0/in[5] +set_disable_timing sb_2__4_/mux_left_track_17/in[4] +set_disable_timing sb_2__4_/mux_right_track_16/in[5] +set_disable_timing sb_2__4_/mux_left_track_1/in[5] +set_disable_timing sb_2__4_/mux_right_track_8/in[6] +set_disable_timing sb_2__4_/mux_left_track_9/in[5] +set_disable_timing sb_2__4_/mux_right_track_0/in[6] +set_disable_timing sb_2__4_/mux_bottom_track_19/in[0] +set_disable_timing sb_2__4_/mux_right_track_8/in[7] +set_disable_timing sb_2__4_/mux_bottom_track_1/in[1] +set_disable_timing sb_2__4_/mux_right_track_16/in[6] +set_disable_timing sb_2__4_/mux_bottom_track_3/in[1] +set_disable_timing sb_2__4_/mux_bottom_track_19/in[1] +set_disable_timing sb_2__4_/mux_right_track_0/in[7] +set_disable_timing sb_2__4_/mux_bottom_track_5/in[1] +set_disable_timing sb_2__4_/mux_right_track_8/in[8] +set_disable_timing sb_2__4_/mux_bottom_track_7/in[1] +set_disable_timing sb_2__4_/mux_right_track_16/in[7] +set_disable_timing sb_2__4_/mux_bottom_track_9/in[1] +set_disable_timing sb_2__4_/mux_bottom_track_1/in[2] +set_disable_timing sb_2__4_/mux_right_track_0/in[8] +set_disable_timing sb_2__4_/mux_bottom_track_11/in[1] +set_disable_timing sb_2__4_/mux_bottom_track_3/in[2] +################################################## +# Disable timing for Switch block sb_1__0_ +################################################## +set_disable_timing sb_3__0_/chany_top_out[0] +set_disable_timing sb_3__0_/chany_top_in[0] +set_disable_timing sb_3__0_/chany_top_in[1] +set_disable_timing sb_3__0_/chany_top_out[2] +set_disable_timing sb_3__0_/chany_top_in[2] +set_disable_timing sb_3__0_/chany_top_out[3] +set_disable_timing sb_3__0_/chany_top_in[3] +set_disable_timing sb_3__0_/chany_top_out[4] +set_disable_timing sb_3__0_/chany_top_in[4] +set_disable_timing sb_3__0_/chany_top_in[5] +set_disable_timing sb_3__0_/chany_top_out[6] +set_disable_timing sb_3__0_/chany_top_in[6] +set_disable_timing sb_3__0_/chany_top_out[7] +set_disable_timing sb_3__0_/chany_top_in[7] +set_disable_timing sb_3__0_/chany_top_out[8] +set_disable_timing sb_3__0_/chany_top_in[8] +set_disable_timing sb_3__0_/chany_top_out[9] +set_disable_timing sb_3__0_/chany_top_in[9] +set_disable_timing sb_3__0_/chanx_right_out[0] +set_disable_timing sb_3__0_/chanx_right_in[0] +set_disable_timing sb_3__0_/chanx_right_out[1] +set_disable_timing sb_3__0_/chanx_right_in[1] +set_disable_timing sb_3__0_/chanx_right_out[2] +set_disable_timing sb_3__0_/chanx_right_out[3] +set_disable_timing sb_3__0_/chanx_right_in[3] +set_disable_timing sb_3__0_/chanx_right_out[4] +set_disable_timing sb_3__0_/chanx_right_in[4] +set_disable_timing sb_3__0_/chanx_right_out[5] +set_disable_timing sb_3__0_/chanx_right_in[5] +set_disable_timing sb_3__0_/chanx_right_out[6] +set_disable_timing sb_3__0_/chanx_right_in[6] +set_disable_timing sb_3__0_/chanx_right_out[7] +set_disable_timing sb_3__0_/chanx_right_in[7] +set_disable_timing sb_3__0_/chanx_right_out[8] +set_disable_timing sb_3__0_/chanx_right_out[9] +set_disable_timing sb_3__0_/chanx_right_in[9] +set_disable_timing sb_3__0_/chanx_left_in[0] +set_disable_timing sb_3__0_/chanx_left_out[0] +set_disable_timing sb_3__0_/chanx_left_in[1] +set_disable_timing sb_3__0_/chanx_left_out[1] +set_disable_timing sb_3__0_/chanx_left_in[2] +set_disable_timing sb_3__0_/chanx_left_out[2] +set_disable_timing sb_3__0_/chanx_left_in[3] +set_disable_timing sb_3__0_/chanx_left_in[4] +set_disable_timing sb_3__0_/chanx_left_out[4] +set_disable_timing sb_3__0_/chanx_left_in[5] +set_disable_timing sb_3__0_/chanx_left_out[5] +set_disable_timing sb_3__0_/chanx_left_in[6] +set_disable_timing sb_3__0_/chanx_left_out[6] +set_disable_timing sb_3__0_/chanx_left_in[7] +set_disable_timing sb_3__0_/chanx_left_out[7] +set_disable_timing sb_3__0_/chanx_left_in[8] +set_disable_timing sb_3__0_/chanx_left_out[8] +set_disable_timing sb_3__0_/chanx_left_in[9] +set_disable_timing sb_3__0_/top_left_grid_right_width_0_height_0_subtile_0__pin_O_3_[0] +set_disable_timing sb_3__0_/top_right_grid_left_width_0_height_0_subtile_0__pin_O_1_[0] +set_disable_timing sb_3__0_/right_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_[0] +set_disable_timing sb_3__0_/right_bottom_grid_top_width_0_height_0_subtile_0__pin_inpad_0_[0] +set_disable_timing sb_3__0_/right_bottom_grid_top_width_0_height_0_subtile_2__pin_inpad_0_[0] +set_disable_timing sb_3__0_/right_bottom_grid_top_width_0_height_0_subtile_3__pin_inpad_0_[0] +set_disable_timing sb_3__0_/right_bottom_grid_top_width_0_height_0_subtile_4__pin_inpad_0_[0] +set_disable_timing sb_3__0_/right_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_[0] +set_disable_timing sb_3__0_/right_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_[0] +set_disable_timing sb_3__0_/left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_[0] +set_disable_timing sb_3__0_/left_bottom_grid_top_width_0_height_0_subtile_0__pin_inpad_0_[0] +set_disable_timing sb_3__0_/left_bottom_grid_top_width_0_height_0_subtile_1__pin_inpad_0_[0] +set_disable_timing sb_3__0_/left_bottom_grid_top_width_0_height_0_subtile_2__pin_inpad_0_[0] +set_disable_timing sb_3__0_/left_bottom_grid_top_width_0_height_0_subtile_3__pin_inpad_0_[0] +set_disable_timing sb_3__0_/left_bottom_grid_top_width_0_height_0_subtile_4__pin_inpad_0_[0] +set_disable_timing sb_3__0_/left_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_[0] +set_disable_timing sb_3__0_/left_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_[0] +set_disable_timing sb_3__0_/left_bottom_grid_top_width_0_height_0_subtile_7__pin_inpad_0_[0] +set_disable_timing sb_3__0_/mux_top_track_0/in[0] +set_disable_timing sb_3__0_/mux_top_track_2/in[0] +set_disable_timing sb_3__0_/mux_right_track_0/in[3] +set_disable_timing sb_3__0_/mux_right_track_8/in[4] +set_disable_timing sb_3__0_/mux_right_track_16/in[3] +set_disable_timing sb_3__0_/mux_right_track_0/in[4] +set_disable_timing sb_3__0_/mux_right_track_8/in[5] +set_disable_timing sb_3__0_/mux_right_track_16/in[4] +set_disable_timing sb_3__0_/mux_right_track_0/in[5] +set_disable_timing sb_3__0_/mux_right_track_8/in[6] +set_disable_timing sb_3__0_/mux_right_track_16/in[5] +set_disable_timing sb_3__0_/mux_left_track_1/in[7] +set_disable_timing sb_3__0_/mux_left_track_9/in[5] +set_disable_timing sb_3__0_/mux_left_track_17/in[5] +set_disable_timing sb_3__0_/mux_left_track_1/in[8] +set_disable_timing sb_3__0_/mux_left_track_9/in[6] +set_disable_timing sb_3__0_/mux_left_track_17/in[6] +set_disable_timing sb_3__0_/mux_left_track_1/in[9] +set_disable_timing sb_3__0_/mux_left_track_9/in[7] +set_disable_timing sb_3__0_/mux_left_track_17/in[7] +set_disable_timing sb_3__0_/mux_right_track_8/in[0] +set_disable_timing sb_3__0_/mux_left_track_1/in[0] +set_disable_timing sb_3__0_/mux_right_track_16/in[0] +set_disable_timing sb_3__0_/mux_left_track_17/in[0] +set_disable_timing sb_3__0_/mux_right_track_0/in[0] +set_disable_timing sb_3__0_/mux_left_track_9/in[0] +set_disable_timing sb_3__0_/mux_right_track_8/in[1] +set_disable_timing sb_3__0_/mux_left_track_1/in[1] +set_disable_timing sb_3__0_/mux_right_track_16/in[1] +set_disable_timing sb_3__0_/mux_left_track_17/in[1] +set_disable_timing sb_3__0_/mux_right_track_0/in[1] +set_disable_timing sb_3__0_/mux_left_track_9/in[1] +set_disable_timing sb_3__0_/mux_right_track_8/in[2] +set_disable_timing sb_3__0_/mux_left_track_1/in[2] +set_disable_timing sb_3__0_/mux_right_track_16/in[2] +set_disable_timing sb_3__0_/mux_left_track_17/in[2] +set_disable_timing sb_3__0_/mux_right_track_0/in[2] +set_disable_timing sb_3__0_/mux_left_track_9/in[2] +set_disable_timing sb_3__0_/mux_right_track_8/in[3] +set_disable_timing sb_3__0_/mux_left_track_1/in[3] +set_disable_timing sb_3__0_/mux_top_track_18/in[0] +set_disable_timing sb_3__0_/mux_left_track_1/in[4] +set_disable_timing sb_3__0_/mux_top_track_0/in[1] +set_disable_timing sb_3__0_/mux_left_track_9/in[3] +set_disable_timing sb_3__0_/mux_left_track_17/in[3] +set_disable_timing sb_3__0_/mux_top_track_18/in[1] +set_disable_timing sb_3__0_/mux_left_track_1/in[5] +set_disable_timing sb_3__0_/mux_left_track_9/in[4] +set_disable_timing sb_3__0_/mux_top_track_8/in[0] +set_disable_timing sb_3__0_/mux_left_track_17/in[4] +set_disable_timing sb_3__0_/mux_top_track_0/in[2] +set_disable_timing sb_3__0_/mux_left_track_1/in[6] +set_disable_timing sb_3__0_/mux_top_track_2/in[2] +set_disable_timing sb_3__0_/mux_top_track_0/in[3] +set_disable_timing sb_3__0_/mux_right_track_0/in[6] +set_disable_timing sb_3__0_/mux_top_track_18/in[2] +set_disable_timing sb_3__0_/mux_right_track_8/in[7] +set_disable_timing sb_3__0_/mux_top_track_16/in[0] +set_disable_timing sb_3__0_/mux_right_track_16/in[6] +set_disable_timing sb_3__0_/mux_top_track_0/in[4] +set_disable_timing sb_3__0_/mux_right_track_0/in[7] +set_disable_timing sb_3__0_/mux_right_track_8/in[8] +set_disable_timing sb_3__0_/mux_top_track_10/in[1] +set_disable_timing sb_3__0_/mux_right_track_16/in[7] +set_disable_timing sb_3__0_/mux_top_track_18/in[3] +set_disable_timing sb_3__0_/mux_top_track_8/in[1] +set_disable_timing sb_3__0_/mux_right_track_0/in[8] +set_disable_timing sb_3__0_/mux_top_track_16/in[1] +################################################## +# Disable timing for Switch block sb_1__1_ +################################################## +set_disable_timing sb_3__1_/chany_top_out[0] +set_disable_timing sb_3__1_/chany_top_in[0] +set_disable_timing sb_3__1_/chany_top_out[1] +set_disable_timing sb_3__1_/chany_top_in[1] +set_disable_timing sb_3__1_/chany_top_in[2] +set_disable_timing sb_3__1_/chany_top_out[3] +set_disable_timing sb_3__1_/chany_top_in[3] +set_disable_timing sb_3__1_/chany_top_out[4] +set_disable_timing sb_3__1_/chany_top_in[4] +set_disable_timing sb_3__1_/chany_top_out[5] +set_disable_timing sb_3__1_/chany_top_in[5] +set_disable_timing sb_3__1_/chany_top_in[6] +set_disable_timing sb_3__1_/chany_top_out[7] +set_disable_timing sb_3__1_/chany_top_in[7] +set_disable_timing sb_3__1_/chany_top_out[8] +set_disable_timing sb_3__1_/chany_top_in[8] +set_disable_timing sb_3__1_/chany_top_out[9] +set_disable_timing sb_3__1_/chany_top_in[9] +set_disable_timing sb_3__1_/chanx_right_out[0] +set_disable_timing sb_3__1_/chanx_right_in[0] +set_disable_timing sb_3__1_/chanx_right_out[1] +set_disable_timing sb_3__1_/chanx_right_in[1] +set_disable_timing sb_3__1_/chanx_right_out[2] +set_disable_timing sb_3__1_/chanx_right_in[2] +set_disable_timing sb_3__1_/chanx_right_out[3] +set_disable_timing sb_3__1_/chanx_right_in[3] +set_disable_timing sb_3__1_/chanx_right_out[4] +set_disable_timing sb_3__1_/chanx_right_in[4] +set_disable_timing sb_3__1_/chanx_right_out[5] +set_disable_timing sb_3__1_/chanx_right_in[5] +set_disable_timing sb_3__1_/chanx_right_out[6] +set_disable_timing sb_3__1_/chanx_right_in[6] +set_disable_timing sb_3__1_/chanx_right_out[7] +set_disable_timing sb_3__1_/chanx_right_in[7] +set_disable_timing sb_3__1_/chanx_right_out[8] +set_disable_timing sb_3__1_/chanx_right_in[8] +set_disable_timing sb_3__1_/chanx_right_out[9] +set_disable_timing sb_3__1_/chanx_right_in[9] +set_disable_timing sb_3__1_/chany_bottom_in[0] +set_disable_timing sb_3__1_/chany_bottom_out[0] +set_disable_timing sb_3__1_/chany_bottom_out[1] +set_disable_timing sb_3__1_/chany_bottom_in[2] +set_disable_timing sb_3__1_/chany_bottom_out[2] +set_disable_timing sb_3__1_/chany_bottom_in[3] +set_disable_timing sb_3__1_/chany_bottom_out[3] +set_disable_timing sb_3__1_/chany_bottom_in[4] +set_disable_timing sb_3__1_/chany_bottom_out[4] +set_disable_timing sb_3__1_/chany_bottom_out[5] +set_disable_timing sb_3__1_/chany_bottom_in[6] +set_disable_timing sb_3__1_/chany_bottom_out[6] +set_disable_timing sb_3__1_/chany_bottom_in[7] +set_disable_timing sb_3__1_/chany_bottom_out[7] +set_disable_timing sb_3__1_/chany_bottom_in[8] +set_disable_timing sb_3__1_/chany_bottom_out[8] +set_disable_timing sb_3__1_/chany_bottom_in[9] +set_disable_timing sb_3__1_/chany_bottom_out[9] +set_disable_timing sb_3__1_/chanx_left_in[0] +set_disable_timing sb_3__1_/chanx_left_out[0] +set_disable_timing sb_3__1_/chanx_left_in[1] +set_disable_timing sb_3__1_/chanx_left_out[1] +set_disable_timing sb_3__1_/chanx_left_in[2] +set_disable_timing sb_3__1_/chanx_left_out[2] +set_disable_timing sb_3__1_/chanx_left_in[3] +set_disable_timing sb_3__1_/chanx_left_out[3] +set_disable_timing sb_3__1_/chanx_left_in[4] +set_disable_timing sb_3__1_/chanx_left_out[4] +set_disable_timing sb_3__1_/chanx_left_in[5] +set_disable_timing sb_3__1_/chanx_left_out[5] +set_disable_timing sb_3__1_/chanx_left_in[6] +set_disable_timing sb_3__1_/chanx_left_out[6] +set_disable_timing sb_3__1_/chanx_left_in[7] +set_disable_timing sb_3__1_/chanx_left_out[7] +set_disable_timing sb_3__1_/chanx_left_in[8] +set_disable_timing sb_3__1_/chanx_left_out[8] +set_disable_timing sb_3__1_/chanx_left_in[9] +set_disable_timing sb_3__1_/chanx_left_out[9] +set_disable_timing sb_3__1_/top_left_grid_right_width_0_height_0_subtile_0__pin_O_3_[0] +set_disable_timing sb_3__1_/top_right_grid_left_width_0_height_0_subtile_0__pin_O_1_[0] +set_disable_timing sb_3__1_/right_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_[0] +set_disable_timing sb_3__1_/right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_[0] +set_disable_timing sb_3__1_/bottom_right_grid_left_width_0_height_0_subtile_0__pin_O_1_[0] +set_disable_timing sb_3__1_/bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_3_[0] +set_disable_timing sb_3__1_/left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_[0] +set_disable_timing sb_3__1_/left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_[0] +set_disable_timing sb_3__1_/mux_top_track_0/in[0] +set_disable_timing sb_3__1_/mux_top_track_8/in[0] +set_disable_timing sb_3__1_/mux_right_track_0/in[3] +set_disable_timing sb_3__1_/mux_right_track_8/in[4] +set_disable_timing sb_3__1_/mux_bottom_track_1/in[6] +set_disable_timing sb_3__1_/mux_bottom_track_9/in[6] +set_disable_timing sb_3__1_/mux_left_track_1/in[10] +set_disable_timing sb_3__1_/mux_left_track_9/in[9] +set_disable_timing sb_3__1_/mux_right_track_8/in[0] +set_disable_timing sb_3__1_/mux_bottom_track_1/in[0] +set_disable_timing sb_3__1_/mux_left_track_1/in[0] +set_disable_timing sb_3__1_/mux_right_track_16/in[0] +set_disable_timing sb_3__1_/mux_bottom_track_9/in[0] +set_disable_timing sb_3__1_/mux_left_track_17/in[0] +set_disable_timing sb_3__1_/mux_right_track_0/in[0] +set_disable_timing sb_3__1_/mux_bottom_track_17/in[0] +set_disable_timing sb_3__1_/mux_left_track_9/in[0] +set_disable_timing sb_3__1_/mux_right_track_8/in[1] +set_disable_timing sb_3__1_/mux_left_track_1/in[1] +set_disable_timing sb_3__1_/mux_right_track_8/in[2] +set_disable_timing sb_3__1_/mux_bottom_track_1/in[1] +set_disable_timing sb_3__1_/mux_left_track_1/in[2] +set_disable_timing sb_3__1_/mux_right_track_16/in[1] +set_disable_timing sb_3__1_/mux_bottom_track_9/in[1] +set_disable_timing sb_3__1_/mux_left_track_17/in[1] +set_disable_timing sb_3__1_/mux_right_track_0/in[1] +set_disable_timing sb_3__1_/mux_bottom_track_17/in[1] +set_disable_timing sb_3__1_/mux_left_track_9/in[1] +set_disable_timing sb_3__1_/mux_right_track_16/in[2] +set_disable_timing sb_3__1_/mux_left_track_17/in[2] +set_disable_timing sb_3__1_/mux_right_track_8/in[3] +set_disable_timing sb_3__1_/mux_bottom_track_1/in[2] +set_disable_timing sb_3__1_/mux_left_track_1/in[3] +set_disable_timing sb_3__1_/mux_right_track_0/in[2] +set_disable_timing sb_3__1_/mux_left_track_9/in[2] +set_disable_timing sb_3__1_/mux_top_track_16/in[0] +set_disable_timing sb_3__1_/mux_bottom_track_9/in[2] +set_disable_timing sb_3__1_/mux_left_track_1/in[4] +set_disable_timing sb_3__1_/mux_top_track_0/in[1] +set_disable_timing sb_3__1_/mux_bottom_track_1/in[3] +set_disable_timing sb_3__1_/mux_left_track_9/in[3] +set_disable_timing sb_3__1_/mux_top_track_8/in[1] +set_disable_timing sb_3__1_/mux_bottom_track_17/in[2] +set_disable_timing sb_3__1_/mux_left_track_17/in[3] +set_disable_timing sb_3__1_/mux_top_track_16/in[1] +set_disable_timing sb_3__1_/mux_bottom_track_9/in[3] +set_disable_timing sb_3__1_/mux_top_track_16/in[2] +set_disable_timing sb_3__1_/mux_bottom_track_9/in[4] +set_disable_timing sb_3__1_/mux_left_track_1/in[5] +set_disable_timing sb_3__1_/mux_top_track_0/in[2] +set_disable_timing sb_3__1_/mux_bottom_track_1/in[4] +set_disable_timing sb_3__1_/mux_left_track_9/in[4] +set_disable_timing sb_3__1_/mux_top_track_8/in[2] +set_disable_timing sb_3__1_/mux_bottom_track_17/in[3] +set_disable_timing sb_3__1_/mux_left_track_17/in[4] +set_disable_timing sb_3__1_/mux_top_track_0/in[3] +set_disable_timing sb_3__1_/mux_bottom_track_1/in[5] +set_disable_timing sb_3__1_/mux_top_track_16/in[3] +set_disable_timing sb_3__1_/mux_bottom_track_9/in[5] +set_disable_timing sb_3__1_/mux_left_track_1/in[6] +set_disable_timing sb_3__1_/mux_top_track_8/in[3] +set_disable_timing sb_3__1_/mux_bottom_track_17/in[4] +set_disable_timing sb_3__1_/mux_top_track_0/in[4] +set_disable_timing sb_3__1_/mux_right_track_8/in[5] +set_disable_timing sb_3__1_/mux_left_track_9/in[5] +set_disable_timing sb_3__1_/mux_top_track_8/in[4] +set_disable_timing sb_3__1_/mux_right_track_0/in[4] +set_disable_timing sb_3__1_/mux_left_track_17/in[5] +set_disable_timing sb_3__1_/mux_top_track_16/in[4] +set_disable_timing sb_3__1_/mux_right_track_16/in[3] +set_disable_timing sb_3__1_/mux_left_track_1/in[7] +set_disable_timing sb_3__1_/mux_right_track_8/in[6] +set_disable_timing sb_3__1_/mux_left_track_9/in[6] +set_disable_timing sb_3__1_/mux_top_track_0/in[5] +set_disable_timing sb_3__1_/mux_right_track_8/in[7] +set_disable_timing sb_3__1_/mux_left_track_9/in[7] +set_disable_timing sb_3__1_/mux_top_track_8/in[5] +set_disable_timing sb_3__1_/mux_right_track_0/in[5] +set_disable_timing sb_3__1_/mux_left_track_17/in[6] +set_disable_timing sb_3__1_/mux_top_track_16/in[5] +set_disable_timing sb_3__1_/mux_right_track_16/in[4] +set_disable_timing sb_3__1_/mux_left_track_1/in[8] +set_disable_timing sb_3__1_/mux_right_track_0/in[6] +set_disable_timing sb_3__1_/mux_left_track_17/in[7] +set_disable_timing sb_3__1_/mux_top_track_0/in[6] +set_disable_timing sb_3__1_/mux_right_track_8/in[8] +set_disable_timing sb_3__1_/mux_left_track_9/in[8] +set_disable_timing sb_3__1_/mux_right_track_16/in[5] +set_disable_timing sb_3__1_/mux_left_track_1/in[9] +set_disable_timing sb_3__1_/mux_top_track_0/in[7] +set_disable_timing sb_3__1_/mux_right_track_0/in[7] +set_disable_timing sb_3__1_/mux_bottom_track_17/in[5] +set_disable_timing sb_3__1_/mux_top_track_16/in[6] +set_disable_timing sb_3__1_/mux_right_track_8/in[9] +set_disable_timing sb_3__1_/mux_bottom_track_1/in[7] +set_disable_timing sb_3__1_/mux_top_track_8/in[6] +set_disable_timing sb_3__1_/mux_right_track_16/in[6] +set_disable_timing sb_3__1_/mux_bottom_track_9/in[7] +set_disable_timing sb_3__1_/mux_top_track_0/in[8] +set_disable_timing sb_3__1_/mux_bottom_track_17/in[6] +set_disable_timing sb_3__1_/mux_top_track_0/in[9] +set_disable_timing sb_3__1_/mux_right_track_0/in[8] +set_disable_timing sb_3__1_/mux_bottom_track_17/in[7] +set_disable_timing sb_3__1_/mux_top_track_16/in[7] +set_disable_timing sb_3__1_/mux_right_track_8/in[10] +set_disable_timing sb_3__1_/mux_bottom_track_1/in[8] +set_disable_timing sb_3__1_/mux_top_track_8/in[7] +set_disable_timing sb_3__1_/mux_right_track_16/in[7] +set_disable_timing sb_3__1_/mux_bottom_track_9/in[8] +set_disable_timing sb_3__1_/mux_top_track_16/in[8] +set_disable_timing sb_3__1_/mux_bottom_track_1/in[9] +set_disable_timing sb_3__1_/mux_top_track_0/in[10] +set_disable_timing sb_3__1_/mux_right_track_0/in[9] +set_disable_timing sb_3__1_/mux_bottom_track_17/in[8] +set_disable_timing sb_3__1_/mux_top_track_8/in[8] +set_disable_timing sb_3__1_/mux_bottom_track_9/in[9] +################################################## +# Disable timing for Switch block sb_1__1_ +################################################## +set_disable_timing sb_3__2_/chany_top_out[0] +set_disable_timing sb_3__2_/chany_top_in[0] +set_disable_timing sb_3__2_/chany_top_out[1] +set_disable_timing sb_3__2_/chany_top_in[1] +set_disable_timing sb_3__2_/chany_top_out[2] +set_disable_timing sb_3__2_/chany_top_in[2] +set_disable_timing sb_3__2_/chany_top_in[3] +set_disable_timing sb_3__2_/chany_top_out[4] +set_disable_timing sb_3__2_/chany_top_in[4] +set_disable_timing sb_3__2_/chany_top_out[5] +set_disable_timing sb_3__2_/chany_top_in[5] +set_disable_timing sb_3__2_/chany_top_out[6] +set_disable_timing sb_3__2_/chany_top_in[6] +set_disable_timing sb_3__2_/chany_top_in[7] +set_disable_timing sb_3__2_/chany_top_out[8] +set_disable_timing sb_3__2_/chany_top_in[8] +set_disable_timing sb_3__2_/chany_top_out[9] +set_disable_timing sb_3__2_/chany_top_in[9] +set_disable_timing sb_3__2_/chanx_right_out[0] +set_disable_timing sb_3__2_/chanx_right_in[0] +set_disable_timing sb_3__2_/chanx_right_out[1] +set_disable_timing sb_3__2_/chanx_right_in[1] +set_disable_timing sb_3__2_/chanx_right_out[2] +set_disable_timing sb_3__2_/chanx_right_in[2] +set_disable_timing sb_3__2_/chanx_right_out[3] +set_disable_timing sb_3__2_/chanx_right_in[3] +set_disable_timing sb_3__2_/chanx_right_out[4] +set_disable_timing sb_3__2_/chanx_right_in[4] +set_disable_timing sb_3__2_/chanx_right_out[5] +set_disable_timing sb_3__2_/chanx_right_in[5] +set_disable_timing sb_3__2_/chanx_right_out[6] +set_disable_timing sb_3__2_/chanx_right_in[6] +set_disable_timing sb_3__2_/chanx_right_out[7] +set_disable_timing sb_3__2_/chanx_right_in[7] +set_disable_timing sb_3__2_/chanx_right_out[8] +set_disable_timing sb_3__2_/chanx_right_in[8] +set_disable_timing sb_3__2_/chanx_right_out[9] +set_disable_timing sb_3__2_/chanx_right_in[9] +set_disable_timing sb_3__2_/chany_bottom_in[0] +set_disable_timing sb_3__2_/chany_bottom_out[0] +set_disable_timing sb_3__2_/chany_bottom_in[1] +set_disable_timing sb_3__2_/chany_bottom_out[1] +set_disable_timing sb_3__2_/chany_bottom_out[2] +set_disable_timing sb_3__2_/chany_bottom_in[3] +set_disable_timing sb_3__2_/chany_bottom_out[3] +set_disable_timing sb_3__2_/chany_bottom_in[4] +set_disable_timing sb_3__2_/chany_bottom_out[4] +set_disable_timing sb_3__2_/chany_bottom_in[5] +set_disable_timing sb_3__2_/chany_bottom_out[5] +set_disable_timing sb_3__2_/chany_bottom_out[6] +set_disable_timing sb_3__2_/chany_bottom_in[7] +set_disable_timing sb_3__2_/chany_bottom_out[7] +set_disable_timing sb_3__2_/chany_bottom_in[8] +set_disable_timing sb_3__2_/chany_bottom_out[8] +set_disable_timing sb_3__2_/chany_bottom_in[9] +set_disable_timing sb_3__2_/chany_bottom_out[9] +set_disable_timing sb_3__2_/chanx_left_in[0] +set_disable_timing sb_3__2_/chanx_left_out[0] +set_disable_timing sb_3__2_/chanx_left_in[1] +set_disable_timing sb_3__2_/chanx_left_out[1] +set_disable_timing sb_3__2_/chanx_left_in[2] +set_disable_timing sb_3__2_/chanx_left_out[2] +set_disable_timing sb_3__2_/chanx_left_in[3] +set_disable_timing sb_3__2_/chanx_left_out[3] +set_disable_timing sb_3__2_/chanx_left_in[4] +set_disable_timing sb_3__2_/chanx_left_out[4] +set_disable_timing sb_3__2_/chanx_left_in[5] +set_disable_timing sb_3__2_/chanx_left_out[5] +set_disable_timing sb_3__2_/chanx_left_in[6] +set_disable_timing sb_3__2_/chanx_left_out[6] +set_disable_timing sb_3__2_/chanx_left_in[7] +set_disable_timing sb_3__2_/chanx_left_out[7] +set_disable_timing sb_3__2_/chanx_left_in[8] +set_disable_timing sb_3__2_/chanx_left_out[8] +set_disable_timing sb_3__2_/chanx_left_in[9] +set_disable_timing sb_3__2_/chanx_left_out[9] +set_disable_timing sb_3__2_/top_left_grid_right_width_0_height_0_subtile_0__pin_O_3_[0] +set_disable_timing sb_3__2_/top_right_grid_left_width_0_height_0_subtile_0__pin_O_1_[0] +set_disable_timing sb_3__2_/right_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_[0] +set_disable_timing sb_3__2_/right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_[0] +set_disable_timing sb_3__2_/bottom_right_grid_left_width_0_height_0_subtile_0__pin_O_1_[0] +set_disable_timing sb_3__2_/bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_3_[0] +set_disable_timing sb_3__2_/left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_[0] +set_disable_timing sb_3__2_/left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_[0] +set_disable_timing sb_3__2_/mux_top_track_0/in[0] +set_disable_timing sb_3__2_/mux_top_track_8/in[0] +set_disable_timing sb_3__2_/mux_right_track_0/in[3] +set_disable_timing sb_3__2_/mux_right_track_8/in[4] +set_disable_timing sb_3__2_/mux_bottom_track_1/in[6] +set_disable_timing sb_3__2_/mux_bottom_track_9/in[6] +set_disable_timing sb_3__2_/mux_left_track_1/in[10] +set_disable_timing sb_3__2_/mux_left_track_9/in[9] +set_disable_timing sb_3__2_/mux_right_track_8/in[0] +set_disable_timing sb_3__2_/mux_bottom_track_1/in[0] +set_disable_timing sb_3__2_/mux_left_track_1/in[0] +set_disable_timing sb_3__2_/mux_right_track_16/in[0] +set_disable_timing sb_3__2_/mux_bottom_track_9/in[0] +set_disable_timing sb_3__2_/mux_left_track_17/in[0] +set_disable_timing sb_3__2_/mux_right_track_0/in[0] +set_disable_timing sb_3__2_/mux_bottom_track_17/in[0] +set_disable_timing sb_3__2_/mux_left_track_9/in[0] +set_disable_timing sb_3__2_/mux_right_track_8/in[1] +set_disable_timing sb_3__2_/mux_left_track_1/in[1] +set_disable_timing sb_3__2_/mux_right_track_8/in[2] +set_disable_timing sb_3__2_/mux_bottom_track_1/in[1] +set_disable_timing sb_3__2_/mux_left_track_1/in[2] +set_disable_timing sb_3__2_/mux_right_track_16/in[1] +set_disable_timing sb_3__2_/mux_bottom_track_9/in[1] +set_disable_timing sb_3__2_/mux_left_track_17/in[1] +set_disable_timing sb_3__2_/mux_right_track_0/in[1] +set_disable_timing sb_3__2_/mux_bottom_track_17/in[1] +set_disable_timing sb_3__2_/mux_left_track_9/in[1] +set_disable_timing sb_3__2_/mux_right_track_16/in[2] +set_disable_timing sb_3__2_/mux_left_track_17/in[2] +set_disable_timing sb_3__2_/mux_right_track_8/in[3] +set_disable_timing sb_3__2_/mux_bottom_track_1/in[2] +set_disable_timing sb_3__2_/mux_left_track_1/in[3] +set_disable_timing sb_3__2_/mux_right_track_0/in[2] +set_disable_timing sb_3__2_/mux_left_track_9/in[2] +set_disable_timing sb_3__2_/mux_top_track_16/in[0] +set_disable_timing sb_3__2_/mux_bottom_track_9/in[2] +set_disable_timing sb_3__2_/mux_left_track_1/in[4] +set_disable_timing sb_3__2_/mux_top_track_0/in[1] +set_disable_timing sb_3__2_/mux_bottom_track_1/in[3] +set_disable_timing sb_3__2_/mux_left_track_9/in[3] +set_disable_timing sb_3__2_/mux_top_track_8/in[1] +set_disable_timing sb_3__2_/mux_bottom_track_17/in[2] +set_disable_timing sb_3__2_/mux_left_track_17/in[3] +set_disable_timing sb_3__2_/mux_top_track_16/in[1] +set_disable_timing sb_3__2_/mux_bottom_track_9/in[3] +set_disable_timing sb_3__2_/mux_top_track_16/in[2] +set_disable_timing sb_3__2_/mux_bottom_track_9/in[4] +set_disable_timing sb_3__2_/mux_left_track_1/in[5] +set_disable_timing sb_3__2_/mux_top_track_0/in[2] +set_disable_timing sb_3__2_/mux_bottom_track_1/in[4] +set_disable_timing sb_3__2_/mux_left_track_9/in[4] +set_disable_timing sb_3__2_/mux_top_track_8/in[2] +set_disable_timing sb_3__2_/mux_bottom_track_17/in[3] +set_disable_timing sb_3__2_/mux_left_track_17/in[4] +set_disable_timing sb_3__2_/mux_top_track_0/in[3] +set_disable_timing sb_3__2_/mux_bottom_track_1/in[5] +set_disable_timing sb_3__2_/mux_top_track_16/in[3] +set_disable_timing sb_3__2_/mux_bottom_track_9/in[5] +set_disable_timing sb_3__2_/mux_left_track_1/in[6] +set_disable_timing sb_3__2_/mux_top_track_8/in[3] +set_disable_timing sb_3__2_/mux_bottom_track_17/in[4] +set_disable_timing sb_3__2_/mux_top_track_0/in[4] +set_disable_timing sb_3__2_/mux_right_track_8/in[5] +set_disable_timing sb_3__2_/mux_left_track_9/in[5] +set_disable_timing sb_3__2_/mux_top_track_8/in[4] +set_disable_timing sb_3__2_/mux_right_track_0/in[4] +set_disable_timing sb_3__2_/mux_left_track_17/in[5] +set_disable_timing sb_3__2_/mux_top_track_16/in[4] +set_disable_timing sb_3__2_/mux_right_track_16/in[3] +set_disable_timing sb_3__2_/mux_left_track_1/in[7] +set_disable_timing sb_3__2_/mux_right_track_8/in[6] +set_disable_timing sb_3__2_/mux_left_track_9/in[6] +set_disable_timing sb_3__2_/mux_top_track_0/in[5] +set_disable_timing sb_3__2_/mux_right_track_8/in[7] +set_disable_timing sb_3__2_/mux_left_track_9/in[7] +set_disable_timing sb_3__2_/mux_top_track_8/in[5] +set_disable_timing sb_3__2_/mux_right_track_0/in[5] +set_disable_timing sb_3__2_/mux_left_track_17/in[6] +set_disable_timing sb_3__2_/mux_top_track_16/in[5] +set_disable_timing sb_3__2_/mux_right_track_16/in[4] +set_disable_timing sb_3__2_/mux_left_track_1/in[8] +set_disable_timing sb_3__2_/mux_right_track_0/in[6] +set_disable_timing sb_3__2_/mux_left_track_17/in[7] +set_disable_timing sb_3__2_/mux_top_track_0/in[6] +set_disable_timing sb_3__2_/mux_right_track_8/in[8] +set_disable_timing sb_3__2_/mux_left_track_9/in[8] +set_disable_timing sb_3__2_/mux_right_track_16/in[5] +set_disable_timing sb_3__2_/mux_left_track_1/in[9] +set_disable_timing sb_3__2_/mux_top_track_0/in[7] +set_disable_timing sb_3__2_/mux_right_track_0/in[7] +set_disable_timing sb_3__2_/mux_bottom_track_17/in[5] +set_disable_timing sb_3__2_/mux_top_track_16/in[6] +set_disable_timing sb_3__2_/mux_right_track_8/in[9] +set_disable_timing sb_3__2_/mux_bottom_track_1/in[7] +set_disable_timing sb_3__2_/mux_top_track_8/in[6] +set_disable_timing sb_3__2_/mux_right_track_16/in[6] +set_disable_timing sb_3__2_/mux_bottom_track_9/in[7] +set_disable_timing sb_3__2_/mux_top_track_0/in[8] +set_disable_timing sb_3__2_/mux_bottom_track_17/in[6] +set_disable_timing sb_3__2_/mux_top_track_0/in[9] +set_disable_timing sb_3__2_/mux_right_track_0/in[8] +set_disable_timing sb_3__2_/mux_bottom_track_17/in[7] +set_disable_timing sb_3__2_/mux_top_track_16/in[7] +set_disable_timing sb_3__2_/mux_right_track_8/in[10] +set_disable_timing sb_3__2_/mux_bottom_track_1/in[8] +set_disable_timing sb_3__2_/mux_top_track_8/in[7] +set_disable_timing sb_3__2_/mux_right_track_16/in[7] +set_disable_timing sb_3__2_/mux_bottom_track_9/in[8] +set_disable_timing sb_3__2_/mux_top_track_16/in[8] +set_disable_timing sb_3__2_/mux_bottom_track_1/in[9] +set_disable_timing sb_3__2_/mux_top_track_0/in[10] +set_disable_timing sb_3__2_/mux_right_track_0/in[9] +set_disable_timing sb_3__2_/mux_bottom_track_17/in[8] +set_disable_timing sb_3__2_/mux_top_track_8/in[8] +set_disable_timing sb_3__2_/mux_bottom_track_9/in[9] +################################################## +# Disable timing for Switch block sb_1__1_ +################################################## +set_disable_timing sb_3__3_/chany_top_out[0] +set_disable_timing sb_3__3_/chany_top_in[0] +set_disable_timing sb_3__3_/chany_top_out[1] +set_disable_timing sb_3__3_/chany_top_in[1] +set_disable_timing sb_3__3_/chany_top_out[2] +set_disable_timing sb_3__3_/chany_top_in[2] +set_disable_timing sb_3__3_/chany_top_out[3] +set_disable_timing sb_3__3_/chany_top_in[3] +set_disable_timing sb_3__3_/chany_top_out[4] +set_disable_timing sb_3__3_/chany_top_in[4] +set_disable_timing sb_3__3_/chany_top_out[5] +set_disable_timing sb_3__3_/chany_top_in[5] +set_disable_timing sb_3__3_/chany_top_out[6] +set_disable_timing sb_3__3_/chany_top_in[6] +set_disable_timing sb_3__3_/chany_top_out[7] +set_disable_timing sb_3__3_/chany_top_in[7] +set_disable_timing sb_3__3_/chany_top_out[8] +set_disable_timing sb_3__3_/chany_top_in[8] +set_disable_timing sb_3__3_/chany_top_out[9] +set_disable_timing sb_3__3_/chany_top_in[9] +set_disable_timing sb_3__3_/chanx_right_out[0] +set_disable_timing sb_3__3_/chanx_right_in[0] +set_disable_timing sb_3__3_/chanx_right_out[1] +set_disable_timing sb_3__3_/chanx_right_in[1] +set_disable_timing sb_3__3_/chanx_right_out[2] +set_disable_timing sb_3__3_/chanx_right_in[2] +set_disable_timing sb_3__3_/chanx_right_out[3] +set_disable_timing sb_3__3_/chanx_right_in[3] +set_disable_timing sb_3__3_/chanx_right_out[4] +set_disable_timing sb_3__3_/chanx_right_in[4] +set_disable_timing sb_3__3_/chanx_right_out[5] +set_disable_timing sb_3__3_/chanx_right_in[5] +set_disable_timing sb_3__3_/chanx_right_out[6] +set_disable_timing sb_3__3_/chanx_right_in[6] +set_disable_timing sb_3__3_/chanx_right_out[7] +set_disable_timing sb_3__3_/chanx_right_in[7] +set_disable_timing sb_3__3_/chanx_right_out[8] +set_disable_timing sb_3__3_/chanx_right_in[8] +set_disable_timing sb_3__3_/chanx_right_out[9] +set_disable_timing sb_3__3_/chanx_right_in[9] +set_disable_timing sb_3__3_/chany_bottom_in[0] +set_disable_timing sb_3__3_/chany_bottom_out[0] +set_disable_timing sb_3__3_/chany_bottom_in[1] +set_disable_timing sb_3__3_/chany_bottom_out[1] +set_disable_timing sb_3__3_/chany_bottom_in[2] +set_disable_timing sb_3__3_/chany_bottom_out[2] +set_disable_timing sb_3__3_/chany_bottom_out[3] +set_disable_timing sb_3__3_/chany_bottom_in[4] +set_disable_timing sb_3__3_/chany_bottom_out[4] +set_disable_timing sb_3__3_/chany_bottom_in[5] +set_disable_timing sb_3__3_/chany_bottom_out[5] +set_disable_timing sb_3__3_/chany_bottom_in[6] +set_disable_timing sb_3__3_/chany_bottom_out[6] +set_disable_timing sb_3__3_/chany_bottom_out[7] +set_disable_timing sb_3__3_/chany_bottom_in[8] +set_disable_timing sb_3__3_/chany_bottom_out[8] +set_disable_timing sb_3__3_/chany_bottom_in[9] +set_disable_timing sb_3__3_/chany_bottom_out[9] +set_disable_timing sb_3__3_/chanx_left_in[0] +set_disable_timing sb_3__3_/chanx_left_out[0] +set_disable_timing sb_3__3_/chanx_left_in[1] +set_disable_timing sb_3__3_/chanx_left_out[1] +set_disable_timing sb_3__3_/chanx_left_in[2] +set_disable_timing sb_3__3_/chanx_left_out[2] +set_disable_timing sb_3__3_/chanx_left_in[3] +set_disable_timing sb_3__3_/chanx_left_out[3] +set_disable_timing sb_3__3_/chanx_left_in[4] +set_disable_timing sb_3__3_/chanx_left_out[4] +set_disable_timing sb_3__3_/chanx_left_in[5] +set_disable_timing sb_3__3_/chanx_left_out[5] +set_disable_timing sb_3__3_/chanx_left_in[6] +set_disable_timing sb_3__3_/chanx_left_out[6] +set_disable_timing sb_3__3_/chanx_left_in[7] +set_disable_timing sb_3__3_/chanx_left_out[7] +set_disable_timing sb_3__3_/chanx_left_in[8] +set_disable_timing sb_3__3_/chanx_left_out[8] +set_disable_timing sb_3__3_/chanx_left_in[9] +set_disable_timing sb_3__3_/chanx_left_out[9] +set_disable_timing sb_3__3_/top_left_grid_right_width_0_height_0_subtile_0__pin_O_3_[0] +set_disable_timing sb_3__3_/top_right_grid_left_width_0_height_0_subtile_0__pin_O_1_[0] +set_disable_timing sb_3__3_/right_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_[0] +set_disable_timing sb_3__3_/right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_[0] +set_disable_timing sb_3__3_/bottom_right_grid_left_width_0_height_0_subtile_0__pin_O_1_[0] +set_disable_timing sb_3__3_/bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_3_[0] +set_disable_timing sb_3__3_/left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_[0] +set_disable_timing sb_3__3_/left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_[0] +set_disable_timing sb_3__3_/mux_top_track_0/in[0] +set_disable_timing sb_3__3_/mux_top_track_8/in[0] +set_disable_timing sb_3__3_/mux_right_track_0/in[3] +set_disable_timing sb_3__3_/mux_right_track_8/in[4] +set_disable_timing sb_3__3_/mux_bottom_track_1/in[6] +set_disable_timing sb_3__3_/mux_bottom_track_9/in[6] +set_disable_timing sb_3__3_/mux_left_track_1/in[10] +set_disable_timing sb_3__3_/mux_left_track_9/in[9] +set_disable_timing sb_3__3_/mux_right_track_8/in[0] +set_disable_timing sb_3__3_/mux_bottom_track_1/in[0] +set_disable_timing sb_3__3_/mux_left_track_1/in[0] +set_disable_timing sb_3__3_/mux_right_track_16/in[0] +set_disable_timing sb_3__3_/mux_bottom_track_9/in[0] +set_disable_timing sb_3__3_/mux_left_track_17/in[0] +set_disable_timing sb_3__3_/mux_right_track_0/in[0] +set_disable_timing sb_3__3_/mux_bottom_track_17/in[0] +set_disable_timing sb_3__3_/mux_left_track_9/in[0] +set_disable_timing sb_3__3_/mux_right_track_8/in[1] +set_disable_timing sb_3__3_/mux_left_track_1/in[1] +set_disable_timing sb_3__3_/mux_right_track_8/in[2] +set_disable_timing sb_3__3_/mux_bottom_track_1/in[1] +set_disable_timing sb_3__3_/mux_left_track_1/in[2] +set_disable_timing sb_3__3_/mux_right_track_16/in[1] +set_disable_timing sb_3__3_/mux_bottom_track_9/in[1] +set_disable_timing sb_3__3_/mux_left_track_17/in[1] +set_disable_timing sb_3__3_/mux_right_track_0/in[1] +set_disable_timing sb_3__3_/mux_bottom_track_17/in[1] +set_disable_timing sb_3__3_/mux_left_track_9/in[1] +set_disable_timing sb_3__3_/mux_right_track_16/in[2] +set_disable_timing sb_3__3_/mux_left_track_17/in[2] +set_disable_timing sb_3__3_/mux_right_track_8/in[3] +set_disable_timing sb_3__3_/mux_bottom_track_1/in[2] +set_disable_timing sb_3__3_/mux_left_track_1/in[3] +set_disable_timing sb_3__3_/mux_right_track_0/in[2] +set_disable_timing sb_3__3_/mux_left_track_9/in[2] +set_disable_timing sb_3__3_/mux_top_track_16/in[0] +set_disable_timing sb_3__3_/mux_bottom_track_9/in[2] +set_disable_timing sb_3__3_/mux_left_track_1/in[4] +set_disable_timing sb_3__3_/mux_top_track_0/in[1] +set_disable_timing sb_3__3_/mux_bottom_track_1/in[3] +set_disable_timing sb_3__3_/mux_left_track_9/in[3] +set_disable_timing sb_3__3_/mux_top_track_8/in[1] +set_disable_timing sb_3__3_/mux_bottom_track_17/in[2] +set_disable_timing sb_3__3_/mux_left_track_17/in[3] +set_disable_timing sb_3__3_/mux_top_track_16/in[1] +set_disable_timing sb_3__3_/mux_bottom_track_9/in[3] +set_disable_timing sb_3__3_/mux_top_track_16/in[2] +set_disable_timing sb_3__3_/mux_bottom_track_9/in[4] +set_disable_timing sb_3__3_/mux_left_track_1/in[5] +set_disable_timing sb_3__3_/mux_top_track_0/in[2] +set_disable_timing sb_3__3_/mux_bottom_track_1/in[4] +set_disable_timing sb_3__3_/mux_left_track_9/in[4] +set_disable_timing sb_3__3_/mux_top_track_8/in[2] +set_disable_timing sb_3__3_/mux_bottom_track_17/in[3] +set_disable_timing sb_3__3_/mux_left_track_17/in[4] +set_disable_timing sb_3__3_/mux_top_track_0/in[3] +set_disable_timing sb_3__3_/mux_bottom_track_1/in[5] +set_disable_timing sb_3__3_/mux_top_track_16/in[3] +set_disable_timing sb_3__3_/mux_bottom_track_9/in[5] +set_disable_timing sb_3__3_/mux_left_track_1/in[6] +set_disable_timing sb_3__3_/mux_top_track_8/in[3] +set_disable_timing sb_3__3_/mux_bottom_track_17/in[4] +set_disable_timing sb_3__3_/mux_top_track_0/in[4] +set_disable_timing sb_3__3_/mux_right_track_8/in[5] +set_disable_timing sb_3__3_/mux_left_track_9/in[5] +set_disable_timing sb_3__3_/mux_top_track_8/in[4] +set_disable_timing sb_3__3_/mux_right_track_0/in[4] +set_disable_timing sb_3__3_/mux_left_track_17/in[5] +set_disable_timing sb_3__3_/mux_top_track_16/in[4] +set_disable_timing sb_3__3_/mux_right_track_16/in[3] +set_disable_timing sb_3__3_/mux_left_track_1/in[7] +set_disable_timing sb_3__3_/mux_right_track_8/in[6] +set_disable_timing sb_3__3_/mux_left_track_9/in[6] +set_disable_timing sb_3__3_/mux_top_track_0/in[5] +set_disable_timing sb_3__3_/mux_right_track_8/in[7] +set_disable_timing sb_3__3_/mux_left_track_9/in[7] +set_disable_timing sb_3__3_/mux_top_track_8/in[5] +set_disable_timing sb_3__3_/mux_right_track_0/in[5] +set_disable_timing sb_3__3_/mux_left_track_17/in[6] +set_disable_timing sb_3__3_/mux_top_track_16/in[5] +set_disable_timing sb_3__3_/mux_right_track_16/in[4] +set_disable_timing sb_3__3_/mux_left_track_1/in[8] +set_disable_timing sb_3__3_/mux_right_track_0/in[6] +set_disable_timing sb_3__3_/mux_left_track_17/in[7] +set_disable_timing sb_3__3_/mux_top_track_0/in[6] +set_disable_timing sb_3__3_/mux_right_track_8/in[8] +set_disable_timing sb_3__3_/mux_left_track_9/in[8] +set_disable_timing sb_3__3_/mux_right_track_16/in[5] +set_disable_timing sb_3__3_/mux_left_track_1/in[9] +set_disable_timing sb_3__3_/mux_top_track_0/in[7] +set_disable_timing sb_3__3_/mux_right_track_0/in[7] +set_disable_timing sb_3__3_/mux_bottom_track_17/in[5] +set_disable_timing sb_3__3_/mux_top_track_16/in[6] +set_disable_timing sb_3__3_/mux_right_track_8/in[9] +set_disable_timing sb_3__3_/mux_bottom_track_1/in[7] +set_disable_timing sb_3__3_/mux_top_track_8/in[6] +set_disable_timing sb_3__3_/mux_right_track_16/in[6] +set_disable_timing sb_3__3_/mux_bottom_track_9/in[7] +set_disable_timing sb_3__3_/mux_top_track_0/in[8] +set_disable_timing sb_3__3_/mux_bottom_track_17/in[6] +set_disable_timing sb_3__3_/mux_top_track_0/in[9] +set_disable_timing sb_3__3_/mux_right_track_0/in[8] +set_disable_timing sb_3__3_/mux_bottom_track_17/in[7] +set_disable_timing sb_3__3_/mux_top_track_16/in[7] +set_disable_timing sb_3__3_/mux_right_track_8/in[10] +set_disable_timing sb_3__3_/mux_bottom_track_1/in[8] +set_disable_timing sb_3__3_/mux_top_track_8/in[7] +set_disable_timing sb_3__3_/mux_right_track_16/in[7] +set_disable_timing sb_3__3_/mux_bottom_track_9/in[8] +set_disable_timing sb_3__3_/mux_top_track_16/in[8] +set_disable_timing sb_3__3_/mux_bottom_track_1/in[9] +set_disable_timing sb_3__3_/mux_top_track_0/in[10] +set_disable_timing sb_3__3_/mux_right_track_0/in[9] +set_disable_timing sb_3__3_/mux_bottom_track_17/in[8] +set_disable_timing sb_3__3_/mux_top_track_8/in[8] +set_disable_timing sb_3__3_/mux_bottom_track_9/in[9] +################################################## +# Disable timing for Switch block sb_1__4_ +################################################## +set_disable_timing sb_3__4_/chanx_right_out[0] +set_disable_timing sb_3__4_/chanx_right_in[0] +set_disable_timing sb_3__4_/chanx_right_out[1] +set_disable_timing sb_3__4_/chanx_right_in[1] +set_disable_timing sb_3__4_/chanx_right_out[2] +set_disable_timing sb_3__4_/chanx_right_in[2] +set_disable_timing sb_3__4_/chanx_right_out[3] +set_disable_timing sb_3__4_/chanx_right_in[3] +set_disable_timing sb_3__4_/chanx_right_out[4] +set_disable_timing sb_3__4_/chanx_right_in[4] +set_disable_timing sb_3__4_/chanx_right_out[5] +set_disable_timing sb_3__4_/chanx_right_in[5] +set_disable_timing sb_3__4_/chanx_right_out[6] +set_disable_timing sb_3__4_/chanx_right_in[6] +set_disable_timing sb_3__4_/chanx_right_out[7] +set_disable_timing sb_3__4_/chanx_right_in[7] +set_disable_timing sb_3__4_/chanx_right_out[8] +set_disable_timing sb_3__4_/chanx_right_in[8] +set_disable_timing sb_3__4_/chanx_right_out[9] +set_disable_timing sb_3__4_/chanx_right_in[9] +set_disable_timing sb_3__4_/chany_bottom_in[0] +set_disable_timing sb_3__4_/chany_bottom_out[0] +set_disable_timing sb_3__4_/chany_bottom_in[1] +set_disable_timing sb_3__4_/chany_bottom_out[1] +set_disable_timing sb_3__4_/chany_bottom_in[2] +set_disable_timing sb_3__4_/chany_bottom_out[2] +set_disable_timing sb_3__4_/chany_bottom_in[3] +set_disable_timing sb_3__4_/chany_bottom_out[3] +set_disable_timing sb_3__4_/chany_bottom_in[4] +set_disable_timing sb_3__4_/chany_bottom_out[4] +set_disable_timing sb_3__4_/chany_bottom_in[5] +set_disable_timing sb_3__4_/chany_bottom_out[5] +set_disable_timing sb_3__4_/chany_bottom_in[6] +set_disable_timing sb_3__4_/chany_bottom_out[6] +set_disable_timing sb_3__4_/chany_bottom_in[7] +set_disable_timing sb_3__4_/chany_bottom_out[7] +set_disable_timing sb_3__4_/chany_bottom_in[8] +set_disable_timing sb_3__4_/chany_bottom_out[8] +set_disable_timing sb_3__4_/chany_bottom_in[9] +set_disable_timing sb_3__4_/chany_bottom_out[9] +set_disable_timing sb_3__4_/chanx_left_in[0] +set_disable_timing sb_3__4_/chanx_left_out[0] +set_disable_timing sb_3__4_/chanx_left_in[1] +set_disable_timing sb_3__4_/chanx_left_out[1] +set_disable_timing sb_3__4_/chanx_left_in[2] +set_disable_timing sb_3__4_/chanx_left_out[2] +set_disable_timing sb_3__4_/chanx_left_in[3] +set_disable_timing sb_3__4_/chanx_left_out[3] +set_disable_timing sb_3__4_/chanx_left_in[4] +set_disable_timing sb_3__4_/chanx_left_out[4] +set_disable_timing sb_3__4_/chanx_left_in[5] +set_disable_timing sb_3__4_/chanx_left_out[5] +set_disable_timing sb_3__4_/chanx_left_in[6] +set_disable_timing sb_3__4_/chanx_left_out[6] +set_disable_timing sb_3__4_/chanx_left_in[7] +set_disable_timing sb_3__4_/chanx_left_out[7] +set_disable_timing sb_3__4_/chanx_left_in[8] +set_disable_timing sb_3__4_/chanx_left_out[8] +set_disable_timing sb_3__4_/chanx_left_in[9] +set_disable_timing sb_3__4_/chanx_left_out[9] +set_disable_timing sb_3__4_/right_top_grid_bottom_width_0_height_0_subtile_0__pin_inpad_0_[0] +set_disable_timing sb_3__4_/right_top_grid_bottom_width_0_height_0_subtile_1__pin_inpad_0_[0] +set_disable_timing sb_3__4_/right_top_grid_bottom_width_0_height_0_subtile_2__pin_inpad_0_[0] +set_disable_timing sb_3__4_/right_top_grid_bottom_width_0_height_0_subtile_3__pin_inpad_0_[0] +set_disable_timing sb_3__4_/right_top_grid_bottom_width_0_height_0_subtile_4__pin_inpad_0_[0] +set_disable_timing sb_3__4_/right_top_grid_bottom_width_0_height_0_subtile_5__pin_inpad_0_[0] +set_disable_timing sb_3__4_/right_top_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_[0] +set_disable_timing sb_3__4_/right_top_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_[0] +set_disable_timing sb_3__4_/right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_[0] +set_disable_timing sb_3__4_/bottom_right_grid_left_width_0_height_0_subtile_0__pin_O_1_[0] +set_disable_timing sb_3__4_/bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_3_[0] +set_disable_timing sb_3__4_/left_top_grid_bottom_width_0_height_0_subtile_0__pin_inpad_0_[0] +set_disable_timing sb_3__4_/left_top_grid_bottom_width_0_height_0_subtile_1__pin_inpad_0_[0] +set_disable_timing sb_3__4_/left_top_grid_bottom_width_0_height_0_subtile_2__pin_inpad_0_[0] +set_disable_timing sb_3__4_/left_top_grid_bottom_width_0_height_0_subtile_3__pin_inpad_0_[0] +set_disable_timing sb_3__4_/left_top_grid_bottom_width_0_height_0_subtile_4__pin_inpad_0_[0] +set_disable_timing sb_3__4_/left_top_grid_bottom_width_0_height_0_subtile_5__pin_inpad_0_[0] +set_disable_timing sb_3__4_/left_top_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_[0] +set_disable_timing sb_3__4_/left_top_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_[0] +set_disable_timing sb_3__4_/left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_[0] +set_disable_timing sb_3__4_/mux_right_track_0/in[0] +set_disable_timing sb_3__4_/mux_right_track_8/in[0] +set_disable_timing sb_3__4_/mux_right_track_16/in[0] +set_disable_timing sb_3__4_/mux_right_track_0/in[1] +set_disable_timing sb_3__4_/mux_right_track_8/in[1] +set_disable_timing sb_3__4_/mux_right_track_16/in[1] +set_disable_timing sb_3__4_/mux_right_track_0/in[2] +set_disable_timing sb_3__4_/mux_right_track_8/in[2] +set_disable_timing sb_3__4_/mux_right_track_16/in[2] +set_disable_timing sb_3__4_/mux_bottom_track_1/in[0] +set_disable_timing sb_3__4_/mux_bottom_track_3/in[0] +set_disable_timing sb_3__4_/mux_left_track_1/in[6] +set_disable_timing sb_3__4_/mux_left_track_9/in[6] +set_disable_timing sb_3__4_/mux_left_track_17/in[5] +set_disable_timing sb_3__4_/mux_left_track_1/in[7] +set_disable_timing sb_3__4_/mux_left_track_9/in[7] +set_disable_timing sb_3__4_/mux_left_track_17/in[6] +set_disable_timing sb_3__4_/mux_left_track_1/in[8] +set_disable_timing sb_3__4_/mux_left_track_9/in[8] +set_disable_timing sb_3__4_/mux_left_track_17/in[7] +set_disable_timing sb_3__4_/mux_bottom_track_17/in[0] +set_disable_timing sb_3__4_/mux_left_track_1/in[0] +set_disable_timing sb_3__4_/mux_bottom_track_15/in[0] +set_disable_timing sb_3__4_/mux_left_track_9/in[0] +set_disable_timing sb_3__4_/mux_bottom_track_13/in[0] +set_disable_timing sb_3__4_/mux_left_track_17/in[0] +set_disable_timing sb_3__4_/mux_bottom_track_17/in[1] +set_disable_timing sb_3__4_/mux_bottom_track_11/in[0] +set_disable_timing sb_3__4_/mux_left_track_1/in[1] +set_disable_timing sb_3__4_/mux_bottom_track_9/in[0] +set_disable_timing sb_3__4_/mux_left_track_9/in[1] +set_disable_timing sb_3__4_/mux_bottom_track_7/in[0] +set_disable_timing sb_3__4_/mux_left_track_17/in[1] +set_disable_timing sb_3__4_/mux_bottom_track_15/in[1] +set_disable_timing sb_3__4_/mux_bottom_track_5/in[0] +set_disable_timing sb_3__4_/mux_left_track_1/in[2] +set_disable_timing sb_3__4_/mux_bottom_track_13/in[1] +set_disable_timing sb_3__4_/mux_right_track_8/in[3] +set_disable_timing sb_3__4_/mux_left_track_9/in[2] +set_disable_timing sb_3__4_/mux_right_track_0/in[3] +set_disable_timing sb_3__4_/mux_left_track_17/in[2] +set_disable_timing sb_3__4_/mux_right_track_16/in[3] +set_disable_timing sb_3__4_/mux_left_track_1/in[3] +set_disable_timing sb_3__4_/mux_right_track_8/in[4] +set_disable_timing sb_3__4_/mux_left_track_9/in[3] +set_disable_timing sb_3__4_/mux_right_track_0/in[4] +set_disable_timing sb_3__4_/mux_left_track_17/in[3] +set_disable_timing sb_3__4_/mux_right_track_16/in[4] +set_disable_timing sb_3__4_/mux_left_track_1/in[4] +set_disable_timing sb_3__4_/mux_right_track_8/in[5] +set_disable_timing sb_3__4_/mux_left_track_9/in[4] +set_disable_timing sb_3__4_/mux_right_track_0/in[5] +set_disable_timing sb_3__4_/mux_left_track_17/in[4] +set_disable_timing sb_3__4_/mux_right_track_16/in[5] +set_disable_timing sb_3__4_/mux_left_track_1/in[5] +set_disable_timing sb_3__4_/mux_right_track_8/in[6] +set_disable_timing sb_3__4_/mux_left_track_9/in[5] +set_disable_timing sb_3__4_/mux_right_track_0/in[6] +set_disable_timing sb_3__4_/mux_bottom_track_19/in[0] +set_disable_timing sb_3__4_/mux_right_track_8/in[7] +set_disable_timing sb_3__4_/mux_bottom_track_1/in[1] +set_disable_timing sb_3__4_/mux_right_track_16/in[6] +set_disable_timing sb_3__4_/mux_bottom_track_3/in[1] +set_disable_timing sb_3__4_/mux_bottom_track_19/in[1] +set_disable_timing sb_3__4_/mux_right_track_0/in[7] +set_disable_timing sb_3__4_/mux_bottom_track_5/in[1] +set_disable_timing sb_3__4_/mux_right_track_8/in[8] +set_disable_timing sb_3__4_/mux_bottom_track_7/in[1] +set_disable_timing sb_3__4_/mux_right_track_16/in[7] +set_disable_timing sb_3__4_/mux_bottom_track_9/in[1] +set_disable_timing sb_3__4_/mux_bottom_track_1/in[2] +set_disable_timing sb_3__4_/mux_right_track_0/in[8] +set_disable_timing sb_3__4_/mux_bottom_track_11/in[1] +set_disable_timing sb_3__4_/mux_bottom_track_3/in[2] +################################################## +# Disable timing for Switch block sb_4__0_ +################################################## +set_disable_timing sb_4__0_/chany_top_in[0] +set_disable_timing sb_4__0_/chany_top_out[1] +set_disable_timing sb_4__0_/chany_top_in[1] +set_disable_timing sb_4__0_/chany_top_out[2] +set_disable_timing sb_4__0_/chany_top_in[2] +set_disable_timing sb_4__0_/chany_top_out[3] +set_disable_timing sb_4__0_/chany_top_in[3] +set_disable_timing sb_4__0_/chany_top_out[4] +set_disable_timing sb_4__0_/chany_top_in[4] +set_disable_timing sb_4__0_/chany_top_out[5] +set_disable_timing sb_4__0_/chany_top_in[5] +set_disable_timing sb_4__0_/chany_top_out[6] +set_disable_timing sb_4__0_/chany_top_in[6] +set_disable_timing sb_4__0_/chany_top_out[7] +set_disable_timing sb_4__0_/chany_top_in[7] +set_disable_timing sb_4__0_/chany_top_out[8] +set_disable_timing sb_4__0_/chany_top_in[8] +set_disable_timing sb_4__0_/chany_top_out[9] +set_disable_timing sb_4__0_/chany_top_in[9] +set_disable_timing sb_4__0_/chanx_left_in[0] +set_disable_timing sb_4__0_/chanx_left_out[0] +set_disable_timing sb_4__0_/chanx_left_in[1] +set_disable_timing sb_4__0_/chanx_left_out[1] +set_disable_timing sb_4__0_/chanx_left_in[2] +set_disable_timing sb_4__0_/chanx_left_in[3] +set_disable_timing sb_4__0_/chanx_left_out[3] +set_disable_timing sb_4__0_/chanx_left_in[4] +set_disable_timing sb_4__0_/chanx_left_out[4] +set_disable_timing sb_4__0_/chanx_left_in[5] +set_disable_timing sb_4__0_/chanx_left_out[5] +set_disable_timing sb_4__0_/chanx_left_in[6] +set_disable_timing sb_4__0_/chanx_left_out[6] +set_disable_timing sb_4__0_/chanx_left_in[7] +set_disable_timing sb_4__0_/chanx_left_out[7] +set_disable_timing sb_4__0_/chanx_left_in[8] +set_disable_timing sb_4__0_/chanx_left_in[9] +set_disable_timing sb_4__0_/chanx_left_out[9] +set_disable_timing sb_4__0_/top_right_grid_left_width_0_height_0_subtile_0__pin_inpad_0_[0] +set_disable_timing sb_4__0_/top_right_grid_left_width_0_height_0_subtile_1__pin_inpad_0_[0] +set_disable_timing sb_4__0_/top_right_grid_left_width_0_height_0_subtile_2__pin_inpad_0_[0] +set_disable_timing sb_4__0_/top_right_grid_left_width_0_height_0_subtile_3__pin_inpad_0_[0] +set_disable_timing sb_4__0_/top_right_grid_left_width_0_height_0_subtile_4__pin_inpad_0_[0] +set_disable_timing sb_4__0_/top_right_grid_left_width_0_height_0_subtile_5__pin_inpad_0_[0] +set_disable_timing sb_4__0_/top_right_grid_left_width_0_height_0_subtile_6__pin_inpad_0_[0] +set_disable_timing sb_4__0_/top_right_grid_left_width_0_height_0_subtile_7__pin_inpad_0_[0] +set_disable_timing sb_4__0_/left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_[0] +set_disable_timing sb_4__0_/left_bottom_grid_top_width_0_height_0_subtile_0__pin_inpad_0_[0] +set_disable_timing sb_4__0_/left_bottom_grid_top_width_0_height_0_subtile_2__pin_inpad_0_[0] +set_disable_timing sb_4__0_/left_bottom_grid_top_width_0_height_0_subtile_3__pin_inpad_0_[0] +set_disable_timing sb_4__0_/left_bottom_grid_top_width_0_height_0_subtile_4__pin_inpad_0_[0] +set_disable_timing sb_4__0_/left_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_[0] +set_disable_timing sb_4__0_/left_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_[0] +set_disable_timing sb_4__0_/mux_top_track_2/in[0] +set_disable_timing sb_4__0_/mux_top_track_4/in[0] +set_disable_timing sb_4__0_/mux_top_track_6/in[0] +set_disable_timing sb_4__0_/mux_top_track_8/in[0] +set_disable_timing sb_4__0_/mux_top_track_10/in[0] +set_disable_timing sb_4__0_/mux_top_track_12/in[0] +set_disable_timing sb_4__0_/mux_top_track_14/in[0] +set_disable_timing sb_4__0_/mux_top_track_16/in[0] +set_disable_timing sb_4__0_/mux_left_track_1/in[1] +set_disable_timing sb_4__0_/mux_left_track_3/in[1] +set_disable_timing sb_4__0_/mux_left_track_7/in[1] +set_disable_timing sb_4__0_/mux_left_track_9/in[1] +set_disable_timing sb_4__0_/mux_left_track_11/in[1] +set_disable_timing sb_4__0_/mux_left_track_13/in[1] +set_disable_timing sb_4__0_/mux_left_track_15/in[1] +set_disable_timing sb_4__0_/mux_left_track_1/in[0] +set_disable_timing sb_4__0_/mux_left_track_17/in[0] +set_disable_timing sb_4__0_/mux_left_track_15/in[0] +set_disable_timing sb_4__0_/mux_left_track_13/in[0] +set_disable_timing sb_4__0_/mux_left_track_11/in[0] +set_disable_timing sb_4__0_/mux_left_track_9/in[0] +set_disable_timing sb_4__0_/mux_left_track_7/in[0] +set_disable_timing sb_4__0_/mux_left_track_5/in[0] +set_disable_timing sb_4__0_/mux_left_track_3/in[0] +set_disable_timing sb_4__0_/mux_top_track_0/in[1] +set_disable_timing sb_4__0_/mux_top_track_16/in[1] +set_disable_timing sb_4__0_/mux_top_track_14/in[1] +set_disable_timing sb_4__0_/mux_top_track_12/in[1] +set_disable_timing sb_4__0_/mux_top_track_10/in[1] +set_disable_timing sb_4__0_/mux_top_track_8/in[1] +set_disable_timing sb_4__0_/mux_top_track_6/in[1] +set_disable_timing sb_4__0_/mux_top_track_4/in[1] +set_disable_timing sb_4__0_/mux_top_track_2/in[1] +################################################## +# Disable timing for Switch block sb_4__1_ +################################################## +set_disable_timing sb_4__1_/chany_top_out[0] +set_disable_timing sb_4__1_/chany_top_in[0] +set_disable_timing sb_4__1_/chany_top_in[1] +set_disable_timing sb_4__1_/chany_top_out[2] +set_disable_timing sb_4__1_/chany_top_in[2] +set_disable_timing sb_4__1_/chany_top_out[3] +set_disable_timing sb_4__1_/chany_top_in[3] +set_disable_timing sb_4__1_/chany_top_out[4] +set_disable_timing sb_4__1_/chany_top_in[4] +set_disable_timing sb_4__1_/chany_top_out[5] +set_disable_timing sb_4__1_/chany_top_in[5] +set_disable_timing sb_4__1_/chany_top_out[6] +set_disable_timing sb_4__1_/chany_top_in[6] +set_disable_timing sb_4__1_/chany_top_out[7] +set_disable_timing sb_4__1_/chany_top_in[7] +set_disable_timing sb_4__1_/chany_top_out[8] +set_disable_timing sb_4__1_/chany_top_in[8] +set_disable_timing sb_4__1_/chany_top_out[9] +set_disable_timing sb_4__1_/chany_top_in[9] +set_disable_timing sb_4__1_/chany_bottom_out[0] +set_disable_timing sb_4__1_/chany_bottom_in[1] +set_disable_timing sb_4__1_/chany_bottom_out[1] +set_disable_timing sb_4__1_/chany_bottom_in[2] +set_disable_timing sb_4__1_/chany_bottom_out[2] +set_disable_timing sb_4__1_/chany_bottom_in[3] +set_disable_timing sb_4__1_/chany_bottom_out[3] +set_disable_timing sb_4__1_/chany_bottom_in[4] +set_disable_timing sb_4__1_/chany_bottom_out[4] +set_disable_timing sb_4__1_/chany_bottom_in[5] +set_disable_timing sb_4__1_/chany_bottom_out[5] +set_disable_timing sb_4__1_/chany_bottom_in[6] +set_disable_timing sb_4__1_/chany_bottom_out[6] +set_disable_timing sb_4__1_/chany_bottom_in[7] +set_disable_timing sb_4__1_/chany_bottom_out[7] +set_disable_timing sb_4__1_/chany_bottom_in[8] +set_disable_timing sb_4__1_/chany_bottom_out[8] +set_disable_timing sb_4__1_/chany_bottom_in[9] +set_disable_timing sb_4__1_/chany_bottom_out[9] +set_disable_timing sb_4__1_/chanx_left_in[0] +set_disable_timing sb_4__1_/chanx_left_out[0] +set_disable_timing sb_4__1_/chanx_left_in[1] +set_disable_timing sb_4__1_/chanx_left_out[1] +set_disable_timing sb_4__1_/chanx_left_in[2] +set_disable_timing sb_4__1_/chanx_left_out[2] +set_disable_timing sb_4__1_/chanx_left_in[3] +set_disable_timing sb_4__1_/chanx_left_out[3] +set_disable_timing sb_4__1_/chanx_left_in[4] +set_disable_timing sb_4__1_/chanx_left_out[4] +set_disable_timing sb_4__1_/chanx_left_in[5] +set_disable_timing sb_4__1_/chanx_left_out[5] +set_disable_timing sb_4__1_/chanx_left_in[6] +set_disable_timing sb_4__1_/chanx_left_out[6] +set_disable_timing sb_4__1_/chanx_left_in[7] +set_disable_timing sb_4__1_/chanx_left_out[7] +set_disable_timing sb_4__1_/chanx_left_in[8] +set_disable_timing sb_4__1_/chanx_left_out[8] +set_disable_timing sb_4__1_/chanx_left_in[9] +set_disable_timing sb_4__1_/chanx_left_out[9] +set_disable_timing sb_4__1_/top_left_grid_right_width_0_height_0_subtile_0__pin_O_3_[0] +set_disable_timing sb_4__1_/top_right_grid_left_width_0_height_0_subtile_0__pin_inpad_0_[0] +set_disable_timing sb_4__1_/top_right_grid_left_width_0_height_0_subtile_1__pin_inpad_0_[0] +set_disable_timing sb_4__1_/top_right_grid_left_width_0_height_0_subtile_2__pin_inpad_0_[0] +set_disable_timing sb_4__1_/top_right_grid_left_width_0_height_0_subtile_3__pin_inpad_0_[0] +set_disable_timing sb_4__1_/top_right_grid_left_width_0_height_0_subtile_4__pin_inpad_0_[0] +set_disable_timing sb_4__1_/top_right_grid_left_width_0_height_0_subtile_5__pin_inpad_0_[0] +set_disable_timing sb_4__1_/top_right_grid_left_width_0_height_0_subtile_6__pin_inpad_0_[0] +set_disable_timing sb_4__1_/top_right_grid_left_width_0_height_0_subtile_7__pin_inpad_0_[0] +set_disable_timing sb_4__1_/bottom_right_grid_left_width_0_height_0_subtile_0__pin_inpad_0_[0] +set_disable_timing sb_4__1_/bottom_right_grid_left_width_0_height_0_subtile_1__pin_inpad_0_[0] +set_disable_timing sb_4__1_/bottom_right_grid_left_width_0_height_0_subtile_2__pin_inpad_0_[0] +set_disable_timing sb_4__1_/bottom_right_grid_left_width_0_height_0_subtile_3__pin_inpad_0_[0] +set_disable_timing sb_4__1_/bottom_right_grid_left_width_0_height_0_subtile_4__pin_inpad_0_[0] +set_disable_timing sb_4__1_/bottom_right_grid_left_width_0_height_0_subtile_5__pin_inpad_0_[0] +set_disable_timing sb_4__1_/bottom_right_grid_left_width_0_height_0_subtile_6__pin_inpad_0_[0] +set_disable_timing sb_4__1_/bottom_right_grid_left_width_0_height_0_subtile_7__pin_inpad_0_[0] +set_disable_timing sb_4__1_/left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_[0] +set_disable_timing sb_4__1_/left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_[0] +set_disable_timing sb_4__1_/mux_top_track_0/in[0] +set_disable_timing sb_4__1_/mux_top_track_8/in[0] +set_disable_timing sb_4__1_/mux_top_track_16/in[0] +set_disable_timing sb_4__1_/mux_top_track_0/in[1] +set_disable_timing sb_4__1_/mux_top_track_8/in[1] +set_disable_timing sb_4__1_/mux_top_track_16/in[1] +set_disable_timing sb_4__1_/mux_top_track_0/in[2] +set_disable_timing sb_4__1_/mux_top_track_8/in[2] +set_disable_timing sb_4__1_/mux_top_track_16/in[2] +set_disable_timing sb_4__1_/mux_bottom_track_1/in[3] +set_disable_timing sb_4__1_/mux_bottom_track_9/in[2] +set_disable_timing sb_4__1_/mux_bottom_track_17/in[2] +set_disable_timing sb_4__1_/mux_bottom_track_1/in[4] +set_disable_timing sb_4__1_/mux_bottom_track_9/in[3] +set_disable_timing sb_4__1_/mux_bottom_track_17/in[3] +set_disable_timing sb_4__1_/mux_bottom_track_1/in[5] +set_disable_timing sb_4__1_/mux_bottom_track_9/in[4] +set_disable_timing sb_4__1_/mux_bottom_track_17/in[4] +set_disable_timing sb_4__1_/mux_left_track_1/in[2] +set_disable_timing sb_4__1_/mux_left_track_3/in[2] +set_disable_timing sb_4__1_/mux_bottom_track_1/in[0] +set_disable_timing sb_4__1_/mux_left_track_1/in[0] +set_disable_timing sb_4__1_/mux_bottom_track_9/in[0] +set_disable_timing sb_4__1_/mux_left_track_19/in[0] +set_disable_timing sb_4__1_/mux_bottom_track_17/in[0] +set_disable_timing sb_4__1_/mux_left_track_17/in[0] +set_disable_timing sb_4__1_/mux_left_track_1/in[1] +set_disable_timing sb_4__1_/mux_bottom_track_1/in[1] +set_disable_timing sb_4__1_/mux_left_track_15/in[0] +set_disable_timing sb_4__1_/mux_bottom_track_9/in[1] +set_disable_timing sb_4__1_/mux_left_track_13/in[0] +set_disable_timing sb_4__1_/mux_bottom_track_17/in[1] +set_disable_timing sb_4__1_/mux_left_track_11/in[0] +set_disable_timing sb_4__1_/mux_left_track_19/in[1] +set_disable_timing sb_4__1_/mux_bottom_track_1/in[2] +set_disable_timing sb_4__1_/mux_left_track_9/in[0] +set_disable_timing sb_4__1_/mux_left_track_17/in[1] +set_disable_timing sb_4__1_/mux_top_track_0/in[3] +set_disable_timing sb_4__1_/mux_left_track_3/in[0] +set_disable_timing sb_4__1_/mux_top_track_8/in[3] +set_disable_timing sb_4__1_/mux_left_track_5/in[0] +set_disable_timing sb_4__1_/mux_top_track_16/in[3] +set_disable_timing sb_4__1_/mux_left_track_7/in[0] +set_disable_timing sb_4__1_/mux_left_track_3/in[1] +set_disable_timing sb_4__1_/mux_top_track_0/in[4] +set_disable_timing sb_4__1_/mux_left_track_9/in[1] +set_disable_timing sb_4__1_/mux_top_track_8/in[4] +set_disable_timing sb_4__1_/mux_left_track_11/in[1] +set_disable_timing sb_4__1_/mux_top_track_16/in[4] +set_disable_timing sb_4__1_/mux_left_track_13/in[1] +set_disable_timing sb_4__1_/mux_left_track_5/in[1] +set_disable_timing sb_4__1_/mux_top_track_0/in[5] +set_disable_timing sb_4__1_/mux_left_track_15/in[1] +set_disable_timing sb_4__1_/mux_left_track_7/in[1] +set_disable_timing sb_4__1_/mux_top_track_0/in[6] +set_disable_timing sb_4__1_/mux_bottom_track_17/in[5] +set_disable_timing sb_4__1_/mux_top_track_16/in[5] +set_disable_timing sb_4__1_/mux_bottom_track_1/in[6] +set_disable_timing sb_4__1_/mux_top_track_8/in[5] +set_disable_timing sb_4__1_/mux_bottom_track_9/in[5] +set_disable_timing sb_4__1_/mux_top_track_0/in[7] +set_disable_timing sb_4__1_/mux_bottom_track_17/in[6] +set_disable_timing sb_4__1_/mux_top_track_16/in[6] +set_disable_timing sb_4__1_/mux_bottom_track_1/in[7] +set_disable_timing sb_4__1_/mux_top_track_8/in[6] +set_disable_timing sb_4__1_/mux_bottom_track_9/in[6] +set_disable_timing sb_4__1_/mux_top_track_0/in[8] +set_disable_timing sb_4__1_/mux_bottom_track_17/in[7] +set_disable_timing sb_4__1_/mux_top_track_16/in[7] +set_disable_timing sb_4__1_/mux_bottom_track_1/in[8] +set_disable_timing sb_4__1_/mux_top_track_8/in[7] +set_disable_timing sb_4__1_/mux_bottom_track_9/in[7] +set_disable_timing sb_4__1_/mux_top_track_0/in[9] +set_disable_timing sb_4__1_/mux_bottom_track_17/in[8] +################################################## +# Disable timing for Switch block sb_4__1_ +################################################## +set_disable_timing sb_4__2_/chany_top_out[0] +set_disable_timing sb_4__2_/chany_top_in[0] +set_disable_timing sb_4__2_/chany_top_out[1] +set_disable_timing sb_4__2_/chany_top_in[1] +set_disable_timing sb_4__2_/chany_top_in[2] +set_disable_timing sb_4__2_/chany_top_out[3] +set_disable_timing sb_4__2_/chany_top_in[3] +set_disable_timing sb_4__2_/chany_top_out[4] +set_disable_timing sb_4__2_/chany_top_in[4] +set_disable_timing sb_4__2_/chany_top_out[5] +set_disable_timing sb_4__2_/chany_top_in[5] +set_disable_timing sb_4__2_/chany_top_out[6] +set_disable_timing sb_4__2_/chany_top_in[6] +set_disable_timing sb_4__2_/chany_top_out[7] +set_disable_timing sb_4__2_/chany_top_in[7] +set_disable_timing sb_4__2_/chany_top_out[8] +set_disable_timing sb_4__2_/chany_top_in[8] +set_disable_timing sb_4__2_/chany_top_out[9] +set_disable_timing sb_4__2_/chany_top_in[9] +set_disable_timing sb_4__2_/chany_bottom_in[0] +set_disable_timing sb_4__2_/chany_bottom_out[0] +set_disable_timing sb_4__2_/chany_bottom_out[1] +set_disable_timing sb_4__2_/chany_bottom_in[2] +set_disable_timing sb_4__2_/chany_bottom_out[2] +set_disable_timing sb_4__2_/chany_bottom_in[3] +set_disable_timing sb_4__2_/chany_bottom_out[3] +set_disable_timing sb_4__2_/chany_bottom_in[4] +set_disable_timing sb_4__2_/chany_bottom_out[4] +set_disable_timing sb_4__2_/chany_bottom_in[5] +set_disable_timing sb_4__2_/chany_bottom_out[5] +set_disable_timing sb_4__2_/chany_bottom_in[6] +set_disable_timing sb_4__2_/chany_bottom_out[6] +set_disable_timing sb_4__2_/chany_bottom_in[7] +set_disable_timing sb_4__2_/chany_bottom_out[7] +set_disable_timing sb_4__2_/chany_bottom_in[8] +set_disable_timing sb_4__2_/chany_bottom_out[8] +set_disable_timing sb_4__2_/chany_bottom_in[9] +set_disable_timing sb_4__2_/chany_bottom_out[9] +set_disable_timing sb_4__2_/chanx_left_in[0] +set_disable_timing sb_4__2_/chanx_left_out[0] +set_disable_timing sb_4__2_/chanx_left_in[1] +set_disable_timing sb_4__2_/chanx_left_out[1] +set_disable_timing sb_4__2_/chanx_left_in[2] +set_disable_timing sb_4__2_/chanx_left_out[2] +set_disable_timing sb_4__2_/chanx_left_in[3] +set_disable_timing sb_4__2_/chanx_left_out[3] +set_disable_timing sb_4__2_/chanx_left_in[4] +set_disable_timing sb_4__2_/chanx_left_out[4] +set_disable_timing sb_4__2_/chanx_left_in[5] +set_disable_timing sb_4__2_/chanx_left_out[5] +set_disable_timing sb_4__2_/chanx_left_in[6] +set_disable_timing sb_4__2_/chanx_left_out[6] +set_disable_timing sb_4__2_/chanx_left_in[7] +set_disable_timing sb_4__2_/chanx_left_out[7] +set_disable_timing sb_4__2_/chanx_left_in[8] +set_disable_timing sb_4__2_/chanx_left_out[8] +set_disable_timing sb_4__2_/chanx_left_in[9] +set_disable_timing sb_4__2_/chanx_left_out[9] +set_disable_timing sb_4__2_/top_left_grid_right_width_0_height_0_subtile_0__pin_O_3_[0] +set_disable_timing sb_4__2_/top_right_grid_left_width_0_height_0_subtile_0__pin_inpad_0_[0] +set_disable_timing sb_4__2_/top_right_grid_left_width_0_height_0_subtile_1__pin_inpad_0_[0] +set_disable_timing sb_4__2_/top_right_grid_left_width_0_height_0_subtile_2__pin_inpad_0_[0] +set_disable_timing sb_4__2_/top_right_grid_left_width_0_height_0_subtile_3__pin_inpad_0_[0] +set_disable_timing sb_4__2_/top_right_grid_left_width_0_height_0_subtile_4__pin_inpad_0_[0] +set_disable_timing sb_4__2_/top_right_grid_left_width_0_height_0_subtile_5__pin_inpad_0_[0] +set_disable_timing sb_4__2_/top_right_grid_left_width_0_height_0_subtile_6__pin_inpad_0_[0] +set_disable_timing sb_4__2_/top_right_grid_left_width_0_height_0_subtile_7__pin_inpad_0_[0] +set_disable_timing sb_4__2_/bottom_right_grid_left_width_0_height_0_subtile_0__pin_inpad_0_[0] +set_disable_timing sb_4__2_/bottom_right_grid_left_width_0_height_0_subtile_1__pin_inpad_0_[0] +set_disable_timing sb_4__2_/bottom_right_grid_left_width_0_height_0_subtile_2__pin_inpad_0_[0] +set_disable_timing sb_4__2_/bottom_right_grid_left_width_0_height_0_subtile_3__pin_inpad_0_[0] +set_disable_timing sb_4__2_/bottom_right_grid_left_width_0_height_0_subtile_4__pin_inpad_0_[0] +set_disable_timing sb_4__2_/bottom_right_grid_left_width_0_height_0_subtile_5__pin_inpad_0_[0] +set_disable_timing sb_4__2_/bottom_right_grid_left_width_0_height_0_subtile_6__pin_inpad_0_[0] +set_disable_timing sb_4__2_/bottom_right_grid_left_width_0_height_0_subtile_7__pin_inpad_0_[0] +set_disable_timing sb_4__2_/bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_3_[0] +set_disable_timing sb_4__2_/left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_[0] +set_disable_timing sb_4__2_/left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_[0] +set_disable_timing sb_4__2_/mux_top_track_0/in[0] +set_disable_timing sb_4__2_/mux_top_track_8/in[0] +set_disable_timing sb_4__2_/mux_top_track_16/in[0] +set_disable_timing sb_4__2_/mux_top_track_0/in[1] +set_disable_timing sb_4__2_/mux_top_track_8/in[1] +set_disable_timing sb_4__2_/mux_top_track_16/in[1] +set_disable_timing sb_4__2_/mux_top_track_0/in[2] +set_disable_timing sb_4__2_/mux_top_track_8/in[2] +set_disable_timing sb_4__2_/mux_top_track_16/in[2] +set_disable_timing sb_4__2_/mux_bottom_track_1/in[3] +set_disable_timing sb_4__2_/mux_bottom_track_9/in[2] +set_disable_timing sb_4__2_/mux_bottom_track_17/in[2] +set_disable_timing sb_4__2_/mux_bottom_track_1/in[4] +set_disable_timing sb_4__2_/mux_bottom_track_9/in[3] +set_disable_timing sb_4__2_/mux_bottom_track_17/in[3] +set_disable_timing sb_4__2_/mux_bottom_track_1/in[5] +set_disable_timing sb_4__2_/mux_bottom_track_9/in[4] +set_disable_timing sb_4__2_/mux_bottom_track_17/in[4] +set_disable_timing sb_4__2_/mux_left_track_1/in[2] +set_disable_timing sb_4__2_/mux_left_track_3/in[2] +set_disable_timing sb_4__2_/mux_bottom_track_1/in[0] +set_disable_timing sb_4__2_/mux_left_track_1/in[0] +set_disable_timing sb_4__2_/mux_bottom_track_9/in[0] +set_disable_timing sb_4__2_/mux_left_track_19/in[0] +set_disable_timing sb_4__2_/mux_bottom_track_17/in[0] +set_disable_timing sb_4__2_/mux_left_track_17/in[0] +set_disable_timing sb_4__2_/mux_left_track_1/in[1] +set_disable_timing sb_4__2_/mux_bottom_track_1/in[1] +set_disable_timing sb_4__2_/mux_left_track_15/in[0] +set_disable_timing sb_4__2_/mux_bottom_track_9/in[1] +set_disable_timing sb_4__2_/mux_left_track_13/in[0] +set_disable_timing sb_4__2_/mux_bottom_track_17/in[1] +set_disable_timing sb_4__2_/mux_left_track_11/in[0] +set_disable_timing sb_4__2_/mux_left_track_19/in[1] +set_disable_timing sb_4__2_/mux_bottom_track_1/in[2] +set_disable_timing sb_4__2_/mux_left_track_9/in[0] +set_disable_timing sb_4__2_/mux_left_track_17/in[1] +set_disable_timing sb_4__2_/mux_top_track_0/in[3] +set_disable_timing sb_4__2_/mux_left_track_3/in[0] +set_disable_timing sb_4__2_/mux_top_track_8/in[3] +set_disable_timing sb_4__2_/mux_left_track_5/in[0] +set_disable_timing sb_4__2_/mux_top_track_16/in[3] +set_disable_timing sb_4__2_/mux_left_track_7/in[0] +set_disable_timing sb_4__2_/mux_left_track_3/in[1] +set_disable_timing sb_4__2_/mux_top_track_0/in[4] +set_disable_timing sb_4__2_/mux_left_track_9/in[1] +set_disable_timing sb_4__2_/mux_top_track_8/in[4] +set_disable_timing sb_4__2_/mux_left_track_11/in[1] +set_disable_timing sb_4__2_/mux_top_track_16/in[4] +set_disable_timing sb_4__2_/mux_left_track_13/in[1] +set_disable_timing sb_4__2_/mux_left_track_5/in[1] +set_disable_timing sb_4__2_/mux_top_track_0/in[5] +set_disable_timing sb_4__2_/mux_left_track_15/in[1] +set_disable_timing sb_4__2_/mux_left_track_7/in[1] +set_disable_timing sb_4__2_/mux_top_track_0/in[6] +set_disable_timing sb_4__2_/mux_bottom_track_17/in[5] +set_disable_timing sb_4__2_/mux_top_track_16/in[5] +set_disable_timing sb_4__2_/mux_bottom_track_1/in[6] +set_disable_timing sb_4__2_/mux_top_track_8/in[5] +set_disable_timing sb_4__2_/mux_bottom_track_9/in[5] +set_disable_timing sb_4__2_/mux_top_track_0/in[7] +set_disable_timing sb_4__2_/mux_bottom_track_17/in[6] +set_disable_timing sb_4__2_/mux_top_track_16/in[6] +set_disable_timing sb_4__2_/mux_bottom_track_1/in[7] +set_disable_timing sb_4__2_/mux_top_track_8/in[6] +set_disable_timing sb_4__2_/mux_bottom_track_9/in[6] +set_disable_timing sb_4__2_/mux_top_track_0/in[8] +set_disable_timing sb_4__2_/mux_bottom_track_17/in[7] +set_disable_timing sb_4__2_/mux_top_track_16/in[7] +set_disable_timing sb_4__2_/mux_bottom_track_1/in[8] +set_disable_timing sb_4__2_/mux_top_track_8/in[7] +set_disable_timing sb_4__2_/mux_bottom_track_9/in[7] +set_disable_timing sb_4__2_/mux_top_track_0/in[9] +set_disable_timing sb_4__2_/mux_bottom_track_17/in[8] +################################################## +# Disable timing for Switch block sb_4__1_ +################################################## +set_disable_timing sb_4__3_/chany_top_out[0] +set_disable_timing sb_4__3_/chany_top_in[0] +set_disable_timing sb_4__3_/chany_top_out[1] +set_disable_timing sb_4__3_/chany_top_in[1] +set_disable_timing sb_4__3_/chany_top_out[2] +set_disable_timing sb_4__3_/chany_top_in[2] +set_disable_timing sb_4__3_/chany_top_in[3] +set_disable_timing sb_4__3_/chany_top_out[4] +set_disable_timing sb_4__3_/chany_top_in[4] +set_disable_timing sb_4__3_/chany_top_out[5] +set_disable_timing sb_4__3_/chany_top_in[5] +set_disable_timing sb_4__3_/chany_top_out[6] +set_disable_timing sb_4__3_/chany_top_in[6] +set_disable_timing sb_4__3_/chany_top_out[7] +set_disable_timing sb_4__3_/chany_top_in[7] +set_disable_timing sb_4__3_/chany_top_out[8] +set_disable_timing sb_4__3_/chany_top_in[8] +set_disable_timing sb_4__3_/chany_top_out[9] +set_disable_timing sb_4__3_/chany_top_in[9] +set_disable_timing sb_4__3_/chany_bottom_in[0] +set_disable_timing sb_4__3_/chany_bottom_out[0] +set_disable_timing sb_4__3_/chany_bottom_in[1] +set_disable_timing sb_4__3_/chany_bottom_out[1] +set_disable_timing sb_4__3_/chany_bottom_out[2] +set_disable_timing sb_4__3_/chany_bottom_in[3] +set_disable_timing sb_4__3_/chany_bottom_out[3] +set_disable_timing sb_4__3_/chany_bottom_in[4] +set_disable_timing sb_4__3_/chany_bottom_out[4] +set_disable_timing sb_4__3_/chany_bottom_in[5] +set_disable_timing sb_4__3_/chany_bottom_out[5] +set_disable_timing sb_4__3_/chany_bottom_in[6] +set_disable_timing sb_4__3_/chany_bottom_out[6] +set_disable_timing sb_4__3_/chany_bottom_in[7] +set_disable_timing sb_4__3_/chany_bottom_out[7] +set_disable_timing sb_4__3_/chany_bottom_in[8] +set_disable_timing sb_4__3_/chany_bottom_out[8] +set_disable_timing sb_4__3_/chany_bottom_in[9] +set_disable_timing sb_4__3_/chany_bottom_out[9] +set_disable_timing sb_4__3_/chanx_left_in[0] +set_disable_timing sb_4__3_/chanx_left_out[0] +set_disable_timing sb_4__3_/chanx_left_in[1] +set_disable_timing sb_4__3_/chanx_left_out[1] +set_disable_timing sb_4__3_/chanx_left_in[2] +set_disable_timing sb_4__3_/chanx_left_out[2] +set_disable_timing sb_4__3_/chanx_left_in[3] +set_disable_timing sb_4__3_/chanx_left_out[3] +set_disable_timing sb_4__3_/chanx_left_in[4] +set_disable_timing sb_4__3_/chanx_left_out[4] +set_disable_timing sb_4__3_/chanx_left_in[5] +set_disable_timing sb_4__3_/chanx_left_out[5] +set_disable_timing sb_4__3_/chanx_left_in[6] +set_disable_timing sb_4__3_/chanx_left_out[6] +set_disable_timing sb_4__3_/chanx_left_in[7] +set_disable_timing sb_4__3_/chanx_left_out[7] +set_disable_timing sb_4__3_/chanx_left_in[8] +set_disable_timing sb_4__3_/chanx_left_out[8] +set_disable_timing sb_4__3_/chanx_left_in[9] +set_disable_timing sb_4__3_/chanx_left_out[9] +set_disable_timing sb_4__3_/top_left_grid_right_width_0_height_0_subtile_0__pin_O_3_[0] +set_disable_timing sb_4__3_/top_right_grid_left_width_0_height_0_subtile_0__pin_inpad_0_[0] +set_disable_timing sb_4__3_/top_right_grid_left_width_0_height_0_subtile_1__pin_inpad_0_[0] +set_disable_timing sb_4__3_/top_right_grid_left_width_0_height_0_subtile_2__pin_inpad_0_[0] +set_disable_timing sb_4__3_/top_right_grid_left_width_0_height_0_subtile_3__pin_inpad_0_[0] +set_disable_timing sb_4__3_/top_right_grid_left_width_0_height_0_subtile_4__pin_inpad_0_[0] +set_disable_timing sb_4__3_/top_right_grid_left_width_0_height_0_subtile_5__pin_inpad_0_[0] +set_disable_timing sb_4__3_/top_right_grid_left_width_0_height_0_subtile_6__pin_inpad_0_[0] +set_disable_timing sb_4__3_/top_right_grid_left_width_0_height_0_subtile_7__pin_inpad_0_[0] +set_disable_timing sb_4__3_/bottom_right_grid_left_width_0_height_0_subtile_0__pin_inpad_0_[0] +set_disable_timing sb_4__3_/bottom_right_grid_left_width_0_height_0_subtile_1__pin_inpad_0_[0] +set_disable_timing sb_4__3_/bottom_right_grid_left_width_0_height_0_subtile_2__pin_inpad_0_[0] +set_disable_timing sb_4__3_/bottom_right_grid_left_width_0_height_0_subtile_3__pin_inpad_0_[0] +set_disable_timing sb_4__3_/bottom_right_grid_left_width_0_height_0_subtile_4__pin_inpad_0_[0] +set_disable_timing sb_4__3_/bottom_right_grid_left_width_0_height_0_subtile_5__pin_inpad_0_[0] +set_disable_timing sb_4__3_/bottom_right_grid_left_width_0_height_0_subtile_6__pin_inpad_0_[0] +set_disable_timing sb_4__3_/bottom_right_grid_left_width_0_height_0_subtile_7__pin_inpad_0_[0] +set_disable_timing sb_4__3_/bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_3_[0] +set_disable_timing sb_4__3_/left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_[0] +set_disable_timing sb_4__3_/left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_[0] +set_disable_timing sb_4__3_/mux_top_track_0/in[0] +set_disable_timing sb_4__3_/mux_top_track_8/in[0] +set_disable_timing sb_4__3_/mux_top_track_16/in[0] +set_disable_timing sb_4__3_/mux_top_track_0/in[1] +set_disable_timing sb_4__3_/mux_top_track_8/in[1] +set_disable_timing sb_4__3_/mux_top_track_16/in[1] +set_disable_timing sb_4__3_/mux_top_track_0/in[2] +set_disable_timing sb_4__3_/mux_top_track_8/in[2] +set_disable_timing sb_4__3_/mux_top_track_16/in[2] +set_disable_timing sb_4__3_/mux_bottom_track_1/in[3] +set_disable_timing sb_4__3_/mux_bottom_track_9/in[2] +set_disable_timing sb_4__3_/mux_bottom_track_17/in[2] +set_disable_timing sb_4__3_/mux_bottom_track_1/in[4] +set_disable_timing sb_4__3_/mux_bottom_track_9/in[3] +set_disable_timing sb_4__3_/mux_bottom_track_17/in[3] +set_disable_timing sb_4__3_/mux_bottom_track_1/in[5] +set_disable_timing sb_4__3_/mux_bottom_track_9/in[4] +set_disable_timing sb_4__3_/mux_bottom_track_17/in[4] +set_disable_timing sb_4__3_/mux_left_track_1/in[2] +set_disable_timing sb_4__3_/mux_left_track_3/in[2] +set_disable_timing sb_4__3_/mux_bottom_track_1/in[0] +set_disable_timing sb_4__3_/mux_left_track_1/in[0] +set_disable_timing sb_4__3_/mux_bottom_track_9/in[0] +set_disable_timing sb_4__3_/mux_left_track_19/in[0] +set_disable_timing sb_4__3_/mux_bottom_track_17/in[0] +set_disable_timing sb_4__3_/mux_left_track_17/in[0] +set_disable_timing sb_4__3_/mux_left_track_1/in[1] +set_disable_timing sb_4__3_/mux_bottom_track_1/in[1] +set_disable_timing sb_4__3_/mux_left_track_15/in[0] +set_disable_timing sb_4__3_/mux_bottom_track_9/in[1] +set_disable_timing sb_4__3_/mux_left_track_13/in[0] +set_disable_timing sb_4__3_/mux_bottom_track_17/in[1] +set_disable_timing sb_4__3_/mux_left_track_11/in[0] +set_disable_timing sb_4__3_/mux_left_track_19/in[1] +set_disable_timing sb_4__3_/mux_bottom_track_1/in[2] +set_disable_timing sb_4__3_/mux_left_track_9/in[0] +set_disable_timing sb_4__3_/mux_left_track_17/in[1] +set_disable_timing sb_4__3_/mux_top_track_0/in[3] +set_disable_timing sb_4__3_/mux_left_track_3/in[0] +set_disable_timing sb_4__3_/mux_top_track_8/in[3] +set_disable_timing sb_4__3_/mux_left_track_5/in[0] +set_disable_timing sb_4__3_/mux_top_track_16/in[3] +set_disable_timing sb_4__3_/mux_left_track_7/in[0] +set_disable_timing sb_4__3_/mux_left_track_3/in[1] +set_disable_timing sb_4__3_/mux_top_track_0/in[4] +set_disable_timing sb_4__3_/mux_left_track_9/in[1] +set_disable_timing sb_4__3_/mux_top_track_8/in[4] +set_disable_timing sb_4__3_/mux_left_track_11/in[1] +set_disable_timing sb_4__3_/mux_top_track_16/in[4] +set_disable_timing sb_4__3_/mux_left_track_13/in[1] +set_disable_timing sb_4__3_/mux_left_track_5/in[1] +set_disable_timing sb_4__3_/mux_top_track_0/in[5] +set_disable_timing sb_4__3_/mux_left_track_15/in[1] +set_disable_timing sb_4__3_/mux_left_track_7/in[1] +set_disable_timing sb_4__3_/mux_top_track_0/in[6] +set_disable_timing sb_4__3_/mux_bottom_track_17/in[5] +set_disable_timing sb_4__3_/mux_top_track_16/in[5] +set_disable_timing sb_4__3_/mux_bottom_track_1/in[6] +set_disable_timing sb_4__3_/mux_top_track_8/in[5] +set_disable_timing sb_4__3_/mux_bottom_track_9/in[5] +set_disable_timing sb_4__3_/mux_top_track_0/in[7] +set_disable_timing sb_4__3_/mux_bottom_track_17/in[6] +set_disable_timing sb_4__3_/mux_top_track_16/in[6] +set_disable_timing sb_4__3_/mux_bottom_track_1/in[7] +set_disable_timing sb_4__3_/mux_top_track_8/in[6] +set_disable_timing sb_4__3_/mux_bottom_track_9/in[6] +set_disable_timing sb_4__3_/mux_top_track_0/in[8] +set_disable_timing sb_4__3_/mux_bottom_track_17/in[7] +set_disable_timing sb_4__3_/mux_top_track_16/in[7] +set_disable_timing sb_4__3_/mux_bottom_track_1/in[8] +set_disable_timing sb_4__3_/mux_top_track_8/in[7] +set_disable_timing sb_4__3_/mux_bottom_track_9/in[7] +set_disable_timing sb_4__3_/mux_top_track_0/in[9] +set_disable_timing sb_4__3_/mux_bottom_track_17/in[8] +################################################## +# Disable timing for Switch block sb_4__4_ +################################################## +set_disable_timing sb_4__4_/chany_bottom_in[0] +set_disable_timing sb_4__4_/chany_bottom_out[0] +set_disable_timing sb_4__4_/chany_bottom_in[1] +set_disable_timing sb_4__4_/chany_bottom_out[1] +set_disable_timing sb_4__4_/chany_bottom_in[2] +set_disable_timing sb_4__4_/chany_bottom_out[2] +set_disable_timing sb_4__4_/chany_bottom_out[3] +set_disable_timing sb_4__4_/chany_bottom_in[4] +set_disable_timing sb_4__4_/chany_bottom_out[4] +set_disable_timing sb_4__4_/chany_bottom_in[5] +set_disable_timing sb_4__4_/chany_bottom_out[5] +set_disable_timing sb_4__4_/chany_bottom_in[6] +set_disable_timing sb_4__4_/chany_bottom_out[6] +set_disable_timing sb_4__4_/chany_bottom_in[7] +set_disable_timing sb_4__4_/chany_bottom_out[7] +set_disable_timing sb_4__4_/chany_bottom_in[8] +set_disable_timing sb_4__4_/chany_bottom_out[8] +set_disable_timing sb_4__4_/chany_bottom_in[9] +set_disable_timing sb_4__4_/chany_bottom_out[9] +set_disable_timing sb_4__4_/chanx_left_in[0] +set_disable_timing sb_4__4_/chanx_left_out[0] +set_disable_timing sb_4__4_/chanx_left_in[1] +set_disable_timing sb_4__4_/chanx_left_out[1] +set_disable_timing sb_4__4_/chanx_left_in[2] +set_disable_timing sb_4__4_/chanx_left_out[2] +set_disable_timing sb_4__4_/chanx_left_in[3] +set_disable_timing sb_4__4_/chanx_left_out[3] +set_disable_timing sb_4__4_/chanx_left_in[4] +set_disable_timing sb_4__4_/chanx_left_out[4] +set_disable_timing sb_4__4_/chanx_left_in[5] +set_disable_timing sb_4__4_/chanx_left_out[5] +set_disable_timing sb_4__4_/chanx_left_in[6] +set_disable_timing sb_4__4_/chanx_left_out[6] +set_disable_timing sb_4__4_/chanx_left_in[7] +set_disable_timing sb_4__4_/chanx_left_out[7] +set_disable_timing sb_4__4_/chanx_left_in[8] +set_disable_timing sb_4__4_/chanx_left_out[8] +set_disable_timing sb_4__4_/chanx_left_in[9] +set_disable_timing sb_4__4_/chanx_left_out[9] +set_disable_timing sb_4__4_/bottom_right_grid_left_width_0_height_0_subtile_0__pin_inpad_0_[0] +set_disable_timing sb_4__4_/bottom_right_grid_left_width_0_height_0_subtile_1__pin_inpad_0_[0] +set_disable_timing sb_4__4_/bottom_right_grid_left_width_0_height_0_subtile_2__pin_inpad_0_[0] +set_disable_timing sb_4__4_/bottom_right_grid_left_width_0_height_0_subtile_3__pin_inpad_0_[0] +set_disable_timing sb_4__4_/bottom_right_grid_left_width_0_height_0_subtile_4__pin_inpad_0_[0] +set_disable_timing sb_4__4_/bottom_right_grid_left_width_0_height_0_subtile_5__pin_inpad_0_[0] +set_disable_timing sb_4__4_/bottom_right_grid_left_width_0_height_0_subtile_6__pin_inpad_0_[0] +set_disable_timing sb_4__4_/bottom_right_grid_left_width_0_height_0_subtile_7__pin_inpad_0_[0] +set_disable_timing sb_4__4_/bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_3_[0] +set_disable_timing sb_4__4_/left_top_grid_bottom_width_0_height_0_subtile_0__pin_inpad_0_[0] +set_disable_timing sb_4__4_/left_top_grid_bottom_width_0_height_0_subtile_1__pin_inpad_0_[0] +set_disable_timing sb_4__4_/left_top_grid_bottom_width_0_height_0_subtile_2__pin_inpad_0_[0] +set_disable_timing sb_4__4_/left_top_grid_bottom_width_0_height_0_subtile_3__pin_inpad_0_[0] +set_disable_timing sb_4__4_/left_top_grid_bottom_width_0_height_0_subtile_4__pin_inpad_0_[0] +set_disable_timing sb_4__4_/left_top_grid_bottom_width_0_height_0_subtile_5__pin_inpad_0_[0] +set_disable_timing sb_4__4_/left_top_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_[0] +set_disable_timing sb_4__4_/left_top_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_[0] +set_disable_timing sb_4__4_/left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_[0] +set_disable_timing sb_4__4_/mux_bottom_track_1/in[0] +set_disable_timing sb_4__4_/mux_bottom_track_3/in[0] +set_disable_timing sb_4__4_/mux_bottom_track_5/in[0] +set_disable_timing sb_4__4_/mux_bottom_track_7/in[0] +set_disable_timing sb_4__4_/mux_bottom_track_9/in[0] +set_disable_timing sb_4__4_/mux_bottom_track_11/in[0] +set_disable_timing sb_4__4_/mux_bottom_track_13/in[0] +set_disable_timing sb_4__4_/mux_bottom_track_15/in[0] +set_disable_timing sb_4__4_/mux_bottom_track_17/in[0] +set_disable_timing sb_4__4_/mux_left_track_1/in[1] +set_disable_timing sb_4__4_/mux_left_track_3/in[1] +set_disable_timing sb_4__4_/mux_left_track_5/in[1] +set_disable_timing sb_4__4_/mux_left_track_7/in[1] +set_disable_timing sb_4__4_/mux_left_track_9/in[1] +set_disable_timing sb_4__4_/mux_left_track_11/in[1] +set_disable_timing sb_4__4_/mux_left_track_13/in[1] +set_disable_timing sb_4__4_/mux_left_track_15/in[1] +set_disable_timing sb_4__4_/mux_left_track_17/in[1] +set_disable_timing sb_4__4_/mux_left_track_3/in[0] +set_disable_timing sb_4__4_/mux_left_track_5/in[0] +set_disable_timing sb_4__4_/mux_left_track_7/in[0] +set_disable_timing sb_4__4_/mux_left_track_9/in[0] +set_disable_timing sb_4__4_/mux_left_track_11/in[0] +set_disable_timing sb_4__4_/mux_left_track_13/in[0] +set_disable_timing sb_4__4_/mux_left_track_15/in[0] +set_disable_timing sb_4__4_/mux_left_track_17/in[0] +set_disable_timing sb_4__4_/mux_left_track_1/in[0] +set_disable_timing sb_4__4_/mux_bottom_track_1/in[1] +set_disable_timing sb_4__4_/mux_bottom_track_3/in[1] +set_disable_timing sb_4__4_/mux_bottom_track_5/in[1] +set_disable_timing sb_4__4_/mux_bottom_track_7/in[1] +set_disable_timing sb_4__4_/mux_bottom_track_9/in[1] +set_disable_timing sb_4__4_/mux_bottom_track_11/in[1] +set_disable_timing sb_4__4_/mux_bottom_track_13/in[1] +set_disable_timing sb_4__4_/mux_bottom_track_15/in[1] +set_disable_timing sb_4__4_/mux_bottom_track_17/in[1] +####################################### +# Disable Timing for grid[1][1] +####################################### +####################################### +# Disable Timing for unused grid[1][1][0] +####################################### +####################################### +# Disable all the ports for pb_graph_node clb[0] +####################################### +set_disable_timing grid_clb_1__1_/logical_tile_clb_mode_clb__0/* +####################################### +# Disable all the ports for pb_graph_node fle[0] +####################################### +set_disable_timing grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable all the ports for pb_graph_node fle[1] +####################################### +set_disable_timing grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable all the ports for pb_graph_node fle[2] +####################################### +set_disable_timing grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable all the ports for pb_graph_node fle[3] +####################################### +set_disable_timing grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable Timing for grid[1][2] +####################################### +####################################### +# Disable Timing for unused grid[1][2][0] +####################################### +####################################### +# Disable all the ports for pb_graph_node clb[0] +####################################### +set_disable_timing grid_clb_1__2_/logical_tile_clb_mode_clb__0/* +####################################### +# Disable all the ports for pb_graph_node fle[0] +####################################### +set_disable_timing grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable all the ports for pb_graph_node fle[1] +####################################### +set_disable_timing grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable all the ports for pb_graph_node fle[2] +####################################### +set_disable_timing grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable all the ports for pb_graph_node fle[3] +####################################### +set_disable_timing grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable Timing for grid[1][3] +####################################### +####################################### +# Disable Timing for unused grid[1][3][0] +####################################### +####################################### +# Disable all the ports for pb_graph_node clb[0] +####################################### +set_disable_timing grid_clb_1__3_/logical_tile_clb_mode_clb__0/* +####################################### +# Disable all the ports for pb_graph_node fle[0] +####################################### +set_disable_timing grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable all the ports for pb_graph_node fle[1] +####################################### +set_disable_timing grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable all the ports for pb_graph_node fle[2] +####################################### +set_disable_timing grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable all the ports for pb_graph_node fle[3] +####################################### +set_disable_timing grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable Timing for grid[1][4] +####################################### +####################################### +# Disable Timing for unused grid[1][4][0] +####################################### +####################################### +# Disable all the ports for pb_graph_node clb[0] +####################################### +set_disable_timing grid_clb_1__4_/logical_tile_clb_mode_clb__0/* +####################################### +# Disable all the ports for pb_graph_node fle[0] +####################################### +set_disable_timing grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable all the ports for pb_graph_node fle[1] +####################################### +set_disable_timing grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable all the ports for pb_graph_node fle[2] +####################################### +set_disable_timing grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable all the ports for pb_graph_node fle[3] +####################################### +set_disable_timing grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable Timing for grid[2][1] +####################################### +####################################### +# Disable Timing for unused grid[2][1][0] +####################################### +####################################### +# Disable all the ports for pb_graph_node clb[0] +####################################### +set_disable_timing grid_clb_2__1_/logical_tile_clb_mode_clb__0/* +####################################### +# Disable all the ports for pb_graph_node fle[0] +####################################### +set_disable_timing grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable all the ports for pb_graph_node fle[1] +####################################### +set_disable_timing grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable all the ports for pb_graph_node fle[2] +####################################### +set_disable_timing grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable all the ports for pb_graph_node fle[3] +####################################### +set_disable_timing grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable Timing for grid[2][2] +####################################### +####################################### +# Disable Timing for unused grid[2][2][0] +####################################### +####################################### +# Disable all the ports for pb_graph_node clb[0] +####################################### +set_disable_timing grid_clb_2__2_/logical_tile_clb_mode_clb__0/* +####################################### +# Disable all the ports for pb_graph_node fle[0] +####################################### +set_disable_timing grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable all the ports for pb_graph_node fle[1] +####################################### +set_disable_timing grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable all the ports for pb_graph_node fle[2] +####################################### +set_disable_timing grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable all the ports for pb_graph_node fle[3] +####################################### +set_disable_timing grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable Timing for grid[2][3] +####################################### +####################################### +# Disable Timing for unused grid[2][3][0] +####################################### +####################################### +# Disable all the ports for pb_graph_node clb[0] +####################################### +set_disable_timing grid_clb_2__3_/logical_tile_clb_mode_clb__0/* +####################################### +# Disable all the ports for pb_graph_node fle[0] +####################################### +set_disable_timing grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable all the ports for pb_graph_node fle[1] +####################################### +set_disable_timing grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable all the ports for pb_graph_node fle[2] +####################################### +set_disable_timing grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable all the ports for pb_graph_node fle[3] +####################################### +set_disable_timing grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable Timing for grid[2][4] +####################################### +####################################### +# Disable Timing for unused grid[2][4][0] +####################################### +####################################### +# Disable all the ports for pb_graph_node clb[0] +####################################### +set_disable_timing grid_clb_2__4_/logical_tile_clb_mode_clb__0/* +####################################### +# Disable all the ports for pb_graph_node fle[0] +####################################### +set_disable_timing grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable all the ports for pb_graph_node fle[1] +####################################### +set_disable_timing grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable all the ports for pb_graph_node fle[2] +####################################### +set_disable_timing grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable all the ports for pb_graph_node fle[3] +####################################### +set_disable_timing grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable Timing for grid[3][1] +####################################### +####################################### +# Disable Timing for unused grid[3][1][0] +####################################### +####################################### +# Disable all the ports for pb_graph_node clb[0] +####################################### +set_disable_timing grid_clb_3__1_/logical_tile_clb_mode_clb__0/* +####################################### +# Disable all the ports for pb_graph_node fle[0] +####################################### +set_disable_timing grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable all the ports for pb_graph_node fle[1] +####################################### +set_disable_timing grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable all the ports for pb_graph_node fle[2] +####################################### +set_disable_timing grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable all the ports for pb_graph_node fle[3] +####################################### +set_disable_timing grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable Timing for grid[3][2] +####################################### +####################################### +# Disable Timing for unused grid[3][2][0] +####################################### +####################################### +# Disable all the ports for pb_graph_node clb[0] +####################################### +set_disable_timing grid_clb_3__2_/logical_tile_clb_mode_clb__0/* +####################################### +# Disable all the ports for pb_graph_node fle[0] +####################################### +set_disable_timing grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable all the ports for pb_graph_node fle[1] +####################################### +set_disable_timing grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable all the ports for pb_graph_node fle[2] +####################################### +set_disable_timing grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable all the ports for pb_graph_node fle[3] +####################################### +set_disable_timing grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable Timing for grid[3][3] +####################################### +####################################### +# Disable Timing for unused grid[3][3][0] +####################################### +####################################### +# Disable all the ports for pb_graph_node clb[0] +####################################### +set_disable_timing grid_clb_3__3_/logical_tile_clb_mode_clb__0/* +####################################### +# Disable all the ports for pb_graph_node fle[0] +####################################### +set_disable_timing grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable all the ports for pb_graph_node fle[1] +####################################### +set_disable_timing grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable all the ports for pb_graph_node fle[2] +####################################### +set_disable_timing grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable all the ports for pb_graph_node fle[3] +####################################### +set_disable_timing grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable Timing for grid[3][4] +####################################### +####################################### +# Disable Timing for unused grid[3][4][0] +####################################### +####################################### +# Disable all the ports for pb_graph_node clb[0] +####################################### +set_disable_timing grid_clb_3__4_/logical_tile_clb_mode_clb__0/* +####################################### +# Disable all the ports for pb_graph_node fle[0] +####################################### +set_disable_timing grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable all the ports for pb_graph_node fle[1] +####################################### +set_disable_timing grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable all the ports for pb_graph_node fle[2] +####################################### +set_disable_timing grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable all the ports for pb_graph_node fle[3] +####################################### +set_disable_timing grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable Timing for grid[4][1] +####################################### +####################################### +# Disable Timing for unused resources in grid[4][1][0] +####################################### +####################################### +# Disable unused pins for pb_graph_node clb[0] +####################################### +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/clb_I[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/clb_I[1] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/clb_I[2] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/clb_I[4] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/clb_I[5] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/clb_I[6] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/clb_I[8] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/clb_I[9] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/clb_O[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/clb_O[1] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/clb_O[2] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/clb_clk[0] +####################################### +# Disable unused mux_inputs for pb_graph_node clb[0] +####################################### +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0//mux_fle_3_in_3/in[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0//mux_fle_3_in_3/in[1] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0//mux_fle_3_in_3/in[2] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0//mux_fle_3_in_3/in[4] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0//mux_fle_3_in_3/in[5] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0//mux_fle_3_in_3/in[6] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0//mux_fle_3_in_3/in[7] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0//mux_fle_3_in_3/in[8] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0//mux_fle_3_in_3/in[9] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0//direct_interc_7_/in[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0//mux_fle_3_in_3/in[10] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0//mux_fle_3_in_3/in[11] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0//mux_fle_3_in_3/in[12] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0//mux_fle_3_in_3/in[13] +####################################### +# Disable unused pins for pb_graph_node fle[0] +####################################### +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[1] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[2] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[3] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_out[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_clk[0] +####################################### +# Disable unused mux_inputs for pb_graph_node fle[0] +####################################### +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0//direct_interc_1_/in[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0//direct_interc_2_/in[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0//direct_interc_3_/in[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0//direct_interc_4_/in[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0//direct_interc_5_/in[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0//direct_interc_0_/in[0] +####################################### +# Disable unused pins for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/ble4_in[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/ble4_in[1] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/ble4_in[2] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/ble4_in[3] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/ble4_out[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/ble4_clk[0] +####################################### +# Disable unused mux_inputs for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0//direct_interc_0_/in[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0//direct_interc_1_/in[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0//direct_interc_2_/in[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0//direct_interc_3_/in[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0//direct_interc_5_/in[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0//direct_interc_4_/in[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0//mux_ble4_out_0/in[0] +####################################### +# Disable unused pins for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_in[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_in[1] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_in[2] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_in[3] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_out[0] +####################################### +# Disable unused pins for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/ff_D[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/ff_Q[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/ff_clk[0] +####################################### +# Disable unused pins for pb_graph_node fle[1] +####################################### +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[1] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[2] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[3] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_out[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_clk[0] +####################################### +# Disable unused mux_inputs for pb_graph_node fle[1] +####################################### +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1//direct_interc_1_/in[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1//direct_interc_2_/in[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1//direct_interc_3_/in[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1//direct_interc_4_/in[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1//direct_interc_5_/in[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1//direct_interc_0_/in[0] +####################################### +# Disable unused pins for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/ble4_in[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/ble4_in[1] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/ble4_in[2] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/ble4_in[3] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/ble4_out[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/ble4_clk[0] +####################################### +# Disable unused mux_inputs for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0//direct_interc_0_/in[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0//direct_interc_1_/in[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0//direct_interc_2_/in[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0//direct_interc_3_/in[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0//direct_interc_5_/in[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0//direct_interc_4_/in[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0//mux_ble4_out_0/in[0] +####################################### +# Disable unused pins for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_in[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_in[1] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_in[2] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_in[3] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_out[0] +####################################### +# Disable unused pins for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/ff_D[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/ff_Q[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/ff_clk[0] +####################################### +# Disable unused pins for pb_graph_node fle[2] +####################################### +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[1] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[2] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[3] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_out[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_clk[0] +####################################### +# Disable unused mux_inputs for pb_graph_node fle[2] +####################################### +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2//direct_interc_1_/in[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2//direct_interc_2_/in[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2//direct_interc_3_/in[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2//direct_interc_4_/in[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2//direct_interc_5_/in[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2//direct_interc_0_/in[0] +####################################### +# Disable unused pins for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/ble4_in[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/ble4_in[1] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/ble4_in[2] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/ble4_in[3] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/ble4_out[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/ble4_clk[0] +####################################### +# Disable unused mux_inputs for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0//direct_interc_0_/in[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0//direct_interc_1_/in[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0//direct_interc_2_/in[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0//direct_interc_3_/in[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0//direct_interc_5_/in[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0//direct_interc_4_/in[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0//mux_ble4_out_0/in[0] +####################################### +# Disable unused pins for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_in[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_in[1] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_in[2] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_in[3] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_out[0] +####################################### +# Disable unused pins for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/ff_D[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/ff_Q[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/ff_clk[0] +####################################### +# Disable unused pins for pb_graph_node fle[3] +####################################### +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[1] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[2] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_clk[0] +####################################### +# Disable unused mux_inputs for pb_graph_node fle[3] +####################################### +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3//direct_interc_2_/in[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3//direct_interc_3_/in[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3//direct_interc_5_/in[0] +####################################### +# Disable unused pins for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/ble4_in[1] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/ble4_in[2] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/ble4_clk[0] +####################################### +# Disable unused mux_inputs for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0//direct_interc_1_/in[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0//direct_interc_2_/in[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0//direct_interc_5_/in[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0//mux_ble4_out_0/in[0] +####################################### +# Disable unused pins for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_in[1] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_in[2] +####################################### +# Disable unused pins for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/ff_D[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/ff_Q[0] +set_disable_timing grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/ff_clk[0] +####################################### +# Disable Timing for grid[4][2] +####################################### +####################################### +# Disable Timing for unused grid[4][2][0] +####################################### +####################################### +# Disable all the ports for pb_graph_node clb[0] +####################################### +set_disable_timing grid_clb_4__2_/logical_tile_clb_mode_clb__0/* +####################################### +# Disable all the ports for pb_graph_node fle[0] +####################################### +set_disable_timing grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable all the ports for pb_graph_node fle[1] +####################################### +set_disable_timing grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable all the ports for pb_graph_node fle[2] +####################################### +set_disable_timing grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable all the ports for pb_graph_node fle[3] +####################################### +set_disable_timing grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable Timing for grid[4][3] +####################################### +####################################### +# Disable Timing for unused grid[4][3][0] +####################################### +####################################### +# Disable all the ports for pb_graph_node clb[0] +####################################### +set_disable_timing grid_clb_4__3_/logical_tile_clb_mode_clb__0/* +####################################### +# Disable all the ports for pb_graph_node fle[0] +####################################### +set_disable_timing grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable all the ports for pb_graph_node fle[1] +####################################### +set_disable_timing grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable all the ports for pb_graph_node fle[2] +####################################### +set_disable_timing grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable all the ports for pb_graph_node fle[3] +####################################### +set_disable_timing grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable Timing for grid[4][4] +####################################### +####################################### +# Disable Timing for unused grid[4][4][0] +####################################### +####################################### +# Disable all the ports for pb_graph_node clb[0] +####################################### +set_disable_timing grid_clb_4__4_/logical_tile_clb_mode_clb__0/* +####################################### +# Disable all the ports for pb_graph_node fle[0] +####################################### +set_disable_timing grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable all the ports for pb_graph_node fle[1] +####################################### +set_disable_timing grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable all the ports for pb_graph_node fle[2] +####################################### +set_disable_timing grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable all the ports for pb_graph_node fle[3] +####################################### +set_disable_timing grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/* +####################################### +# Disable all the ports for pb_graph_node ble4[0] +####################################### +set_disable_timing grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/* +####################################### +# Disable all the ports for pb_graph_node lut4[0] +####################################### +set_disable_timing grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/* +####################################### +# Disable all the ports for pb_graph_node ff[0] +####################################### +set_disable_timing grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/* +####################################### +# Disable Timing for grid[1][5] +####################################### +####################################### +# Disable Timing for unused grid[1][5][0] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_top_1__5_/logical_tile_io_mode_io__0/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_top_1__5_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[1][5][1] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_top_1__5_/logical_tile_io_mode_io__1/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_top_1__5_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[1][5][2] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_top_1__5_/logical_tile_io_mode_io__2/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_top_1__5_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[1][5][3] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_top_1__5_/logical_tile_io_mode_io__3/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_top_1__5_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[1][5][4] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_top_1__5_/logical_tile_io_mode_io__4/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_top_1__5_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[1][5][5] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_top_1__5_/logical_tile_io_mode_io__5/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_top_1__5_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[1][5][6] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_top_1__5_/logical_tile_io_mode_io__6/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_top_1__5_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[1][5][7] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_top_1__5_/logical_tile_io_mode_io__7/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_top_1__5_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for grid[2][5] +####################################### +####################################### +# Disable Timing for unused grid[2][5][0] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_top_2__5_/logical_tile_io_mode_io__0/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_top_2__5_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[2][5][1] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_top_2__5_/logical_tile_io_mode_io__1/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_top_2__5_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[2][5][2] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_top_2__5_/logical_tile_io_mode_io__2/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_top_2__5_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[2][5][3] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_top_2__5_/logical_tile_io_mode_io__3/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_top_2__5_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[2][5][4] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_top_2__5_/logical_tile_io_mode_io__4/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_top_2__5_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[2][5][5] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_top_2__5_/logical_tile_io_mode_io__5/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_top_2__5_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[2][5][6] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_top_2__5_/logical_tile_io_mode_io__6/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_top_2__5_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[2][5][7] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_top_2__5_/logical_tile_io_mode_io__7/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_top_2__5_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for grid[3][5] +####################################### +####################################### +# Disable Timing for unused grid[3][5][0] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_top_3__5_/logical_tile_io_mode_io__0/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_top_3__5_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[3][5][1] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_top_3__5_/logical_tile_io_mode_io__1/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_top_3__5_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[3][5][2] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_top_3__5_/logical_tile_io_mode_io__2/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_top_3__5_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[3][5][3] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_top_3__5_/logical_tile_io_mode_io__3/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_top_3__5_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[3][5][4] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_top_3__5_/logical_tile_io_mode_io__4/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_top_3__5_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[3][5][5] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_top_3__5_/logical_tile_io_mode_io__5/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_top_3__5_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[3][5][6] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_top_3__5_/logical_tile_io_mode_io__6/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_top_3__5_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[3][5][7] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_top_3__5_/logical_tile_io_mode_io__7/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_top_3__5_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for grid[4][5] +####################################### +####################################### +# Disable Timing for unused grid[4][5][0] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_top_4__5_/logical_tile_io_mode_io__0/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_top_4__5_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[4][5][1] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_top_4__5_/logical_tile_io_mode_io__1/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_top_4__5_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[4][5][2] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_top_4__5_/logical_tile_io_mode_io__2/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_top_4__5_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[4][5][3] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_top_4__5_/logical_tile_io_mode_io__3/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_top_4__5_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[4][5][4] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_top_4__5_/logical_tile_io_mode_io__4/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_top_4__5_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[4][5][5] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_top_4__5_/logical_tile_io_mode_io__5/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_top_4__5_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[4][5][6] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_top_4__5_/logical_tile_io_mode_io__6/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_top_4__5_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[4][5][7] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_top_4__5_/logical_tile_io_mode_io__7/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_top_4__5_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for grid[5][4] +####################################### +####################################### +# Disable Timing for unused grid[5][4][0] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_right_5__4_/logical_tile_io_mode_io__0/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_right_5__4_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[5][4][1] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_right_5__4_/logical_tile_io_mode_io__1/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_right_5__4_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[5][4][2] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_right_5__4_/logical_tile_io_mode_io__2/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_right_5__4_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[5][4][3] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_right_5__4_/logical_tile_io_mode_io__3/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_right_5__4_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[5][4][4] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_right_5__4_/logical_tile_io_mode_io__4/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_right_5__4_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[5][4][5] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_right_5__4_/logical_tile_io_mode_io__5/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_right_5__4_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[5][4][6] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_right_5__4_/logical_tile_io_mode_io__6/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_right_5__4_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[5][4][7] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_right_5__4_/logical_tile_io_mode_io__7/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_right_5__4_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for grid[5][3] +####################################### +####################################### +# Disable Timing for unused grid[5][3][0] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_right_5__3_/logical_tile_io_mode_io__0/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_right_5__3_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[5][3][1] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_right_5__3_/logical_tile_io_mode_io__1/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_right_5__3_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[5][3][2] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_right_5__3_/logical_tile_io_mode_io__2/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_right_5__3_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[5][3][3] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_right_5__3_/logical_tile_io_mode_io__3/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_right_5__3_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[5][3][4] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_right_5__3_/logical_tile_io_mode_io__4/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_right_5__3_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[5][3][5] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_right_5__3_/logical_tile_io_mode_io__5/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_right_5__3_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[5][3][6] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_right_5__3_/logical_tile_io_mode_io__6/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_right_5__3_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[5][3][7] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_right_5__3_/logical_tile_io_mode_io__7/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_right_5__3_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for grid[5][2] +####################################### +####################################### +# Disable Timing for unused grid[5][2][0] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_right_5__2_/logical_tile_io_mode_io__0/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_right_5__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[5][2][1] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_right_5__2_/logical_tile_io_mode_io__1/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_right_5__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[5][2][2] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_right_5__2_/logical_tile_io_mode_io__2/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_right_5__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[5][2][3] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_right_5__2_/logical_tile_io_mode_io__3/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_right_5__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[5][2][4] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_right_5__2_/logical_tile_io_mode_io__4/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_right_5__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[5][2][5] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_right_5__2_/logical_tile_io_mode_io__5/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_right_5__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[5][2][6] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_right_5__2_/logical_tile_io_mode_io__6/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_right_5__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[5][2][7] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_right_5__2_/logical_tile_io_mode_io__7/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_right_5__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for grid[5][1] +####################################### +####################################### +# Disable Timing for unused resources in grid[5][1][0] +####################################### +####################################### +# Disable unused pins for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_right_5__1_/logical_tile_io_mode_io__0/io_inpad[0] +####################################### +# Disable unused mux_inputs for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_right_5__1_/logical_tile_io_mode_io__0//direct_interc_0_/in[0] +####################################### +# Disable unused pins for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_right_5__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/iopad_inpad[0] +####################################### +# Disable Timing for unused grid[5][1][1] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_right_5__1_/logical_tile_io_mode_io__1/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_right_5__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[5][1][2] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_right_5__1_/logical_tile_io_mode_io__2/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_right_5__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[5][1][3] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_right_5__1_/logical_tile_io_mode_io__3/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_right_5__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[5][1][4] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_right_5__1_/logical_tile_io_mode_io__4/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_right_5__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[5][1][5] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_right_5__1_/logical_tile_io_mode_io__5/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_right_5__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[5][1][6] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_right_5__1_/logical_tile_io_mode_io__6/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_right_5__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[5][1][7] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_right_5__1_/logical_tile_io_mode_io__7/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_right_5__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for grid[4][0] +####################################### +####################################### +# Disable Timing for unused grid[4][0][0] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_bottom_4__0_/logical_tile_io_mode_io__0/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_bottom_4__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused resources in grid[4][0][1] +####################################### +####################################### +# Disable unused pins for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_bottom_4__0_/logical_tile_io_mode_io__1/io_outpad[0] +####################################### +# Disable unused mux_inputs for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_bottom_4__0_/logical_tile_io_mode_io__1//direct_interc_1_/in[0] +####################################### +# Disable unused pins for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_bottom_4__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/iopad_outpad[0] +####################################### +# Disable Timing for unused grid[4][0][2] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_bottom_4__0_/logical_tile_io_mode_io__2/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_bottom_4__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[4][0][3] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_bottom_4__0_/logical_tile_io_mode_io__3/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_bottom_4__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[4][0][4] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_bottom_4__0_/logical_tile_io_mode_io__4/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_bottom_4__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[4][0][5] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_bottom_4__0_/logical_tile_io_mode_io__5/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_bottom_4__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[4][0][6] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_bottom_4__0_/logical_tile_io_mode_io__6/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_bottom_4__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused resources in grid[4][0][7] +####################################### +####################################### +# Disable unused pins for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_bottom_4__0_/logical_tile_io_mode_io__7/io_outpad[0] +####################################### +# Disable unused mux_inputs for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_bottom_4__0_/logical_tile_io_mode_io__7//direct_interc_1_/in[0] +####################################### +# Disable unused pins for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_bottom_4__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/iopad_outpad[0] +####################################### +# Disable Timing for grid[3][0] +####################################### +####################################### +# Disable Timing for unused grid[3][0][0] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_bottom_3__0_/logical_tile_io_mode_io__0/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_bottom_3__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[3][0][1] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_bottom_3__0_/logical_tile_io_mode_io__1/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_bottom_3__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[3][0][2] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_bottom_3__0_/logical_tile_io_mode_io__2/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_bottom_3__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[3][0][3] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_bottom_3__0_/logical_tile_io_mode_io__3/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_bottom_3__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[3][0][4] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_bottom_3__0_/logical_tile_io_mode_io__4/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_bottom_3__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[3][0][5] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_bottom_3__0_/logical_tile_io_mode_io__5/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_bottom_3__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[3][0][6] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_bottom_3__0_/logical_tile_io_mode_io__6/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_bottom_3__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[3][0][7] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_bottom_3__0_/logical_tile_io_mode_io__7/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_bottom_3__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for grid[2][0] +####################################### +####################################### +# Disable Timing for unused grid[2][0][0] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_bottom_2__0_/logical_tile_io_mode_io__0/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_bottom_2__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[2][0][1] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_bottom_2__0_/logical_tile_io_mode_io__1/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_bottom_2__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[2][0][2] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_bottom_2__0_/logical_tile_io_mode_io__2/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_bottom_2__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[2][0][3] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_bottom_2__0_/logical_tile_io_mode_io__3/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_bottom_2__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[2][0][4] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_bottom_2__0_/logical_tile_io_mode_io__4/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_bottom_2__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[2][0][5] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_bottom_2__0_/logical_tile_io_mode_io__5/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_bottom_2__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[2][0][6] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_bottom_2__0_/logical_tile_io_mode_io__6/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_bottom_2__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[2][0][7] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_bottom_2__0_/logical_tile_io_mode_io__7/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_bottom_2__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for grid[1][0] +####################################### +####################################### +# Disable Timing for unused grid[1][0][0] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_bottom_1__0_/logical_tile_io_mode_io__0/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_bottom_1__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[1][0][1] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_bottom_1__0_/logical_tile_io_mode_io__1/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_bottom_1__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[1][0][2] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_bottom_1__0_/logical_tile_io_mode_io__2/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_bottom_1__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[1][0][3] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_bottom_1__0_/logical_tile_io_mode_io__3/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_bottom_1__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[1][0][4] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_bottom_1__0_/logical_tile_io_mode_io__4/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_bottom_1__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[1][0][5] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_bottom_1__0_/logical_tile_io_mode_io__5/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_bottom_1__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[1][0][6] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_bottom_1__0_/logical_tile_io_mode_io__6/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_bottom_1__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[1][0][7] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_bottom_1__0_/logical_tile_io_mode_io__7/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_bottom_1__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for grid[0][1] +####################################### +####################################### +# Disable Timing for unused grid[0][1][0] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_left_0__1_/logical_tile_io_mode_io__0/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_left_0__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[0][1][1] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_left_0__1_/logical_tile_io_mode_io__1/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_left_0__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[0][1][2] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_left_0__1_/logical_tile_io_mode_io__2/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_left_0__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[0][1][3] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_left_0__1_/logical_tile_io_mode_io__3/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_left_0__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[0][1][4] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_left_0__1_/logical_tile_io_mode_io__4/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_left_0__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[0][1][5] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_left_0__1_/logical_tile_io_mode_io__5/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_left_0__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[0][1][6] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_left_0__1_/logical_tile_io_mode_io__6/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_left_0__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[0][1][7] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_left_0__1_/logical_tile_io_mode_io__7/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_left_0__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for grid[0][2] +####################################### +####################################### +# Disable Timing for unused grid[0][2][0] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_left_0__2_/logical_tile_io_mode_io__0/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_left_0__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[0][2][1] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_left_0__2_/logical_tile_io_mode_io__1/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_left_0__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[0][2][2] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_left_0__2_/logical_tile_io_mode_io__2/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_left_0__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[0][2][3] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_left_0__2_/logical_tile_io_mode_io__3/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_left_0__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[0][2][4] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_left_0__2_/logical_tile_io_mode_io__4/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_left_0__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[0][2][5] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_left_0__2_/logical_tile_io_mode_io__5/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_left_0__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[0][2][6] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_left_0__2_/logical_tile_io_mode_io__6/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_left_0__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[0][2][7] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_left_0__2_/logical_tile_io_mode_io__7/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_left_0__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for grid[0][3] +####################################### +####################################### +# Disable Timing for unused grid[0][3][0] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_left_0__3_/logical_tile_io_mode_io__0/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_left_0__3_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[0][3][1] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_left_0__3_/logical_tile_io_mode_io__1/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_left_0__3_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[0][3][2] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_left_0__3_/logical_tile_io_mode_io__2/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_left_0__3_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[0][3][3] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_left_0__3_/logical_tile_io_mode_io__3/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_left_0__3_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[0][3][4] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_left_0__3_/logical_tile_io_mode_io__4/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_left_0__3_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[0][3][5] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_left_0__3_/logical_tile_io_mode_io__5/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_left_0__3_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[0][3][6] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_left_0__3_/logical_tile_io_mode_io__6/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_left_0__3_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[0][3][7] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_left_0__3_/logical_tile_io_mode_io__7/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_left_0__3_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for grid[0][4] +####################################### +####################################### +# Disable Timing for unused grid[0][4][0] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_left_0__4_/logical_tile_io_mode_io__0/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_left_0__4_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[0][4][1] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_left_0__4_/logical_tile_io_mode_io__1/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_left_0__4_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[0][4][2] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_left_0__4_/logical_tile_io_mode_io__2/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_left_0__4_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[0][4][3] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_left_0__4_/logical_tile_io_mode_io__3/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_left_0__4_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[0][4][4] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_left_0__4_/logical_tile_io_mode_io__4/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_left_0__4_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[0][4][5] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_left_0__4_/logical_tile_io_mode_io__5/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_left_0__4_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[0][4][6] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_left_0__4_/logical_tile_io_mode_io__6/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_left_0__4_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/* +####################################### +# Disable Timing for unused grid[0][4][7] +####################################### +####################################### +# Disable all the ports for pb_graph_node io[0] +####################################### +set_disable_timing grid_io_left_0__4_/logical_tile_io_mode_io__7/* +####################################### +# Disable all the ports for pb_graph_node iopad[0] +####################################### +set_disable_timing grid_io_left_0__4_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/* diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/and2_include_netlists.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/and2_include_netlists.v new file mode 100644 index 000000000..c71b9b954 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/and2_include_netlists.v @@ -0,0 +1,16 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Netlist Summary +// Author: Xifan TANG +// Organization: University of Utah +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +// ------ Include fabric top-level netlists ----- +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/fabric_netlists.v" + +`include "and2_output_verilog.v" + +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/and2_top_formal_verification.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/and2_formal_random_top_tb.v" diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/and2_top_formal_verification.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/and2_top_formal_verification.v new file mode 100644 index 000000000..f476fed4f --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/and2_top_formal_verification.v @@ -0,0 +1,2532 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Verilog netlist for pre-configured FPGA fabric by design: and2 +// Author: Xifan TANG +// Organization: University of Utah +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +//----- Default net type ----- +`default_nettype none + +module and2_top_formal_verification ( +input [0:0] a, +input [0:0] b, +output [0:0] c); + +// ----- Local wires for FPGA fabric ----- +wire [0:127] gfpga_pad_GPIO_PAD_fm; +wire [0:0] ccff_head_fm; +wire [0:0] ccff_tail_fm; +wire [0:0] prog_clk_fm; +wire [0:0] set_fm; +wire [0:0] reset_fm; +wire [0:0] clk_fm; + +// ----- FPGA top-level module to be capsulated ----- + fpga_top U0_formal_verification ( + .prog_clk(prog_clk_fm[0]), + .set(set_fm[0]), + .reset(reset_fm[0]), + .clk(clk_fm[0]), + .gfpga_pad_GPIO_PAD(gfpga_pad_GPIO_PAD_fm[0:127]), + .ccff_head(ccff_head_fm[0]), + .ccff_tail(ccff_tail_fm[0])); + +// ----- Begin Connect Global ports of FPGA top module ----- + assign set_fm[0] = 1'b0; + assign reset_fm[0] = 1'b0; + assign clk_fm[0] = 1'b0; + assign prog_clk_fm[0] = 1'b0; +// ----- End Connect Global ports of FPGA top module ----- + +// ----- Link BLIF Benchmark I/Os to FPGA I/Os ----- +// ----- Blif Benchmark input a is mapped to FPGA IOPAD gfpga_pad_GPIO_PAD_fm[65] ----- + assign gfpga_pad_GPIO_PAD_fm[65] = a[0]; + +// ----- Blif Benchmark input b is mapped to FPGA IOPAD gfpga_pad_GPIO_PAD_fm[71] ----- + assign gfpga_pad_GPIO_PAD_fm[71] = b[0]; + +// ----- Blif Benchmark output c is mapped to FPGA IOPAD gfpga_pad_GPIO_PAD_fm[56] ----- + assign c[0] = gfpga_pad_GPIO_PAD_fm[56]; + +// ----- Wire unused FPGA I/Os to constants ----- + assign gfpga_pad_GPIO_PAD_fm[0] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[1] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[2] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[3] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[4] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[5] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[6] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[7] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[8] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[9] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[10] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[11] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[12] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[13] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[14] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[15] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[16] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[17] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[18] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[19] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[20] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[21] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[22] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[23] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[24] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[25] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[26] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[27] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[28] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[29] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[30] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[31] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[32] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[33] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[34] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[35] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[36] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[37] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[38] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[39] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[40] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[41] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[42] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[43] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[44] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[45] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[46] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[47] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[48] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[49] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[50] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[51] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[52] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[53] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[54] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[55] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[57] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[58] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[59] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[60] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[61] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[62] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[63] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[64] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[66] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[67] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[68] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[69] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[70] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[72] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[73] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[74] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[75] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[76] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[77] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[78] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[79] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[80] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[81] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[82] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[83] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[84] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[85] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[86] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[87] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[88] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[89] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[90] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[91] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[92] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[93] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[94] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[95] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[96] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[97] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[98] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[99] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[100] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[101] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[102] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[103] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[104] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[105] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[106] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[107] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[108] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[109] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[110] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[111] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[112] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[113] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[114] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[115] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[116] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[117] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[118] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[119] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[120] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[121] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[122] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[123] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[124] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[125] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[126] = 1'b0; + assign gfpga_pad_GPIO_PAD_fm[127] = 1'b0; + +// ----- Begin load bitstream to configuration memories ----- +// ----- Begin assign bitstream to configuration memories ----- +initial begin + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = 16'b1010101000000000; + force U0_formal_verification.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = 16'b0101010111111111; + force U0_formal_verification.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = 2'b01; + force U0_formal_verification.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = 2'b10; + force U0_formal_verification.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[0:3] = 4'b0001; + force U0_formal_verification.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_outb[0:3] = 4'b1110; + force U0_formal_verification.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[0:3] = 4'b0011; + force U0_formal_verification.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_outb[0:3] = 4'b1100; + force U0_formal_verification.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0:15] = {16{1'b0}}; + force U0_formal_verification.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_outb[0:15] = {16{1'b1}}; + force U0_formal_verification.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.grid_io_top_1__5_.logical_tile_io_mode_io__0.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_top_1__5_.logical_tile_io_mode_io__0.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_top_1__5_.logical_tile_io_mode_io__1.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_top_1__5_.logical_tile_io_mode_io__1.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_top_1__5_.logical_tile_io_mode_io__2.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_top_1__5_.logical_tile_io_mode_io__2.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_top_1__5_.logical_tile_io_mode_io__3.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_top_1__5_.logical_tile_io_mode_io__3.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_top_1__5_.logical_tile_io_mode_io__4.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_top_1__5_.logical_tile_io_mode_io__4.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_top_1__5_.logical_tile_io_mode_io__5.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_top_1__5_.logical_tile_io_mode_io__5.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_top_1__5_.logical_tile_io_mode_io__6.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_top_1__5_.logical_tile_io_mode_io__6.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_top_1__5_.logical_tile_io_mode_io__7.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_top_1__5_.logical_tile_io_mode_io__7.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_top_2__5_.logical_tile_io_mode_io__0.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_top_2__5_.logical_tile_io_mode_io__0.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_top_2__5_.logical_tile_io_mode_io__1.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_top_2__5_.logical_tile_io_mode_io__1.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_top_2__5_.logical_tile_io_mode_io__2.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_top_2__5_.logical_tile_io_mode_io__2.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_top_2__5_.logical_tile_io_mode_io__3.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_top_2__5_.logical_tile_io_mode_io__3.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_top_2__5_.logical_tile_io_mode_io__4.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_top_2__5_.logical_tile_io_mode_io__4.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_top_2__5_.logical_tile_io_mode_io__5.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_top_2__5_.logical_tile_io_mode_io__5.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_top_2__5_.logical_tile_io_mode_io__6.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_top_2__5_.logical_tile_io_mode_io__6.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_top_2__5_.logical_tile_io_mode_io__7.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_top_2__5_.logical_tile_io_mode_io__7.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_top_3__5_.logical_tile_io_mode_io__0.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_top_3__5_.logical_tile_io_mode_io__0.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_top_3__5_.logical_tile_io_mode_io__1.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_top_3__5_.logical_tile_io_mode_io__1.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_top_3__5_.logical_tile_io_mode_io__2.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_top_3__5_.logical_tile_io_mode_io__2.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_top_3__5_.logical_tile_io_mode_io__3.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_top_3__5_.logical_tile_io_mode_io__3.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_top_3__5_.logical_tile_io_mode_io__4.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_top_3__5_.logical_tile_io_mode_io__4.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_top_3__5_.logical_tile_io_mode_io__5.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_top_3__5_.logical_tile_io_mode_io__5.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_top_3__5_.logical_tile_io_mode_io__6.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_top_3__5_.logical_tile_io_mode_io__6.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_top_3__5_.logical_tile_io_mode_io__7.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_top_3__5_.logical_tile_io_mode_io__7.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_top_4__5_.logical_tile_io_mode_io__0.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_top_4__5_.logical_tile_io_mode_io__0.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_top_4__5_.logical_tile_io_mode_io__1.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_top_4__5_.logical_tile_io_mode_io__1.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_top_4__5_.logical_tile_io_mode_io__2.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_top_4__5_.logical_tile_io_mode_io__2.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_top_4__5_.logical_tile_io_mode_io__3.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_top_4__5_.logical_tile_io_mode_io__3.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_top_4__5_.logical_tile_io_mode_io__4.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_top_4__5_.logical_tile_io_mode_io__4.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_top_4__5_.logical_tile_io_mode_io__5.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_top_4__5_.logical_tile_io_mode_io__5.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_top_4__5_.logical_tile_io_mode_io__6.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_top_4__5_.logical_tile_io_mode_io__6.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_top_4__5_.logical_tile_io_mode_io__7.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_top_4__5_.logical_tile_io_mode_io__7.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_right_5__4_.logical_tile_io_mode_io__0.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_right_5__4_.logical_tile_io_mode_io__0.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_right_5__4_.logical_tile_io_mode_io__1.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_right_5__4_.logical_tile_io_mode_io__1.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_right_5__4_.logical_tile_io_mode_io__2.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_right_5__4_.logical_tile_io_mode_io__2.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_right_5__4_.logical_tile_io_mode_io__3.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_right_5__4_.logical_tile_io_mode_io__3.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_right_5__4_.logical_tile_io_mode_io__4.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_right_5__4_.logical_tile_io_mode_io__4.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_right_5__4_.logical_tile_io_mode_io__5.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_right_5__4_.logical_tile_io_mode_io__5.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_right_5__4_.logical_tile_io_mode_io__6.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_right_5__4_.logical_tile_io_mode_io__6.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_right_5__4_.logical_tile_io_mode_io__7.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_right_5__4_.logical_tile_io_mode_io__7.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_right_5__3_.logical_tile_io_mode_io__0.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_right_5__3_.logical_tile_io_mode_io__0.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_right_5__3_.logical_tile_io_mode_io__1.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_right_5__3_.logical_tile_io_mode_io__1.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_right_5__3_.logical_tile_io_mode_io__2.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_right_5__3_.logical_tile_io_mode_io__2.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_right_5__3_.logical_tile_io_mode_io__3.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_right_5__3_.logical_tile_io_mode_io__3.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_right_5__3_.logical_tile_io_mode_io__4.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_right_5__3_.logical_tile_io_mode_io__4.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_right_5__3_.logical_tile_io_mode_io__5.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_right_5__3_.logical_tile_io_mode_io__5.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_right_5__3_.logical_tile_io_mode_io__6.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_right_5__3_.logical_tile_io_mode_io__6.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_right_5__3_.logical_tile_io_mode_io__7.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_right_5__3_.logical_tile_io_mode_io__7.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_right_5__2_.logical_tile_io_mode_io__0.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_right_5__2_.logical_tile_io_mode_io__0.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_right_5__2_.logical_tile_io_mode_io__1.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_right_5__2_.logical_tile_io_mode_io__1.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_right_5__2_.logical_tile_io_mode_io__2.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_right_5__2_.logical_tile_io_mode_io__2.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_right_5__2_.logical_tile_io_mode_io__3.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_right_5__2_.logical_tile_io_mode_io__3.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_right_5__2_.logical_tile_io_mode_io__4.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_right_5__2_.logical_tile_io_mode_io__4.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_right_5__2_.logical_tile_io_mode_io__5.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_right_5__2_.logical_tile_io_mode_io__5.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_right_5__2_.logical_tile_io_mode_io__6.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_right_5__2_.logical_tile_io_mode_io__6.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_right_5__2_.logical_tile_io_mode_io__7.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_right_5__2_.logical_tile_io_mode_io__7.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_right_5__1_.logical_tile_io_mode_io__0.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b0; + force U0_formal_verification.grid_io_right_5__1_.logical_tile_io_mode_io__0.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b1; + force U0_formal_verification.grid_io_right_5__1_.logical_tile_io_mode_io__1.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_right_5__1_.logical_tile_io_mode_io__1.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_right_5__1_.logical_tile_io_mode_io__2.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_right_5__1_.logical_tile_io_mode_io__2.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_right_5__1_.logical_tile_io_mode_io__3.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_right_5__1_.logical_tile_io_mode_io__3.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_right_5__1_.logical_tile_io_mode_io__4.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_right_5__1_.logical_tile_io_mode_io__4.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_right_5__1_.logical_tile_io_mode_io__5.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_right_5__1_.logical_tile_io_mode_io__5.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_right_5__1_.logical_tile_io_mode_io__6.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_right_5__1_.logical_tile_io_mode_io__6.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_right_5__1_.logical_tile_io_mode_io__7.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_right_5__1_.logical_tile_io_mode_io__7.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_bottom_4__0_.logical_tile_io_mode_io__0.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_bottom_4__0_.logical_tile_io_mode_io__0.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_bottom_4__0_.logical_tile_io_mode_io__1.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_bottom_4__0_.logical_tile_io_mode_io__1.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_bottom_4__0_.logical_tile_io_mode_io__2.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_bottom_4__0_.logical_tile_io_mode_io__2.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_bottom_4__0_.logical_tile_io_mode_io__3.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_bottom_4__0_.logical_tile_io_mode_io__3.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_bottom_4__0_.logical_tile_io_mode_io__4.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_bottom_4__0_.logical_tile_io_mode_io__4.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_bottom_4__0_.logical_tile_io_mode_io__5.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_bottom_4__0_.logical_tile_io_mode_io__5.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_bottom_4__0_.logical_tile_io_mode_io__6.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_bottom_4__0_.logical_tile_io_mode_io__6.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_bottom_4__0_.logical_tile_io_mode_io__7.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_bottom_4__0_.logical_tile_io_mode_io__7.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_bottom_3__0_.logical_tile_io_mode_io__0.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_bottom_3__0_.logical_tile_io_mode_io__0.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_bottom_3__0_.logical_tile_io_mode_io__1.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_bottom_3__0_.logical_tile_io_mode_io__1.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_bottom_3__0_.logical_tile_io_mode_io__2.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_bottom_3__0_.logical_tile_io_mode_io__2.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_bottom_3__0_.logical_tile_io_mode_io__3.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_bottom_3__0_.logical_tile_io_mode_io__3.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_bottom_3__0_.logical_tile_io_mode_io__4.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_bottom_3__0_.logical_tile_io_mode_io__4.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_bottom_3__0_.logical_tile_io_mode_io__5.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_bottom_3__0_.logical_tile_io_mode_io__5.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_bottom_3__0_.logical_tile_io_mode_io__6.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_bottom_3__0_.logical_tile_io_mode_io__6.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_bottom_3__0_.logical_tile_io_mode_io__7.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_bottom_3__0_.logical_tile_io_mode_io__7.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_bottom_2__0_.logical_tile_io_mode_io__0.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_bottom_2__0_.logical_tile_io_mode_io__0.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_bottom_2__0_.logical_tile_io_mode_io__1.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_bottom_2__0_.logical_tile_io_mode_io__1.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_bottom_2__0_.logical_tile_io_mode_io__2.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_bottom_2__0_.logical_tile_io_mode_io__2.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_bottom_2__0_.logical_tile_io_mode_io__3.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_bottom_2__0_.logical_tile_io_mode_io__3.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_bottom_2__0_.logical_tile_io_mode_io__4.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_bottom_2__0_.logical_tile_io_mode_io__4.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_bottom_2__0_.logical_tile_io_mode_io__5.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_bottom_2__0_.logical_tile_io_mode_io__5.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_bottom_2__0_.logical_tile_io_mode_io__6.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_bottom_2__0_.logical_tile_io_mode_io__6.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_bottom_2__0_.logical_tile_io_mode_io__7.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_bottom_2__0_.logical_tile_io_mode_io__7.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_bottom_1__0_.logical_tile_io_mode_io__0.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_bottom_1__0_.logical_tile_io_mode_io__0.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_bottom_1__0_.logical_tile_io_mode_io__1.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_bottom_1__0_.logical_tile_io_mode_io__1.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_bottom_1__0_.logical_tile_io_mode_io__2.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_bottom_1__0_.logical_tile_io_mode_io__2.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_bottom_1__0_.logical_tile_io_mode_io__3.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_bottom_1__0_.logical_tile_io_mode_io__3.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_bottom_1__0_.logical_tile_io_mode_io__4.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_bottom_1__0_.logical_tile_io_mode_io__4.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_bottom_1__0_.logical_tile_io_mode_io__5.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_bottom_1__0_.logical_tile_io_mode_io__5.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_bottom_1__0_.logical_tile_io_mode_io__6.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_bottom_1__0_.logical_tile_io_mode_io__6.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_bottom_1__0_.logical_tile_io_mode_io__7.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_bottom_1__0_.logical_tile_io_mode_io__7.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_left_0__1_.logical_tile_io_mode_io__0.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_left_0__1_.logical_tile_io_mode_io__0.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_left_0__1_.logical_tile_io_mode_io__1.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_left_0__1_.logical_tile_io_mode_io__1.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_left_0__1_.logical_tile_io_mode_io__2.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_left_0__1_.logical_tile_io_mode_io__2.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_left_0__1_.logical_tile_io_mode_io__3.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_left_0__1_.logical_tile_io_mode_io__3.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_left_0__1_.logical_tile_io_mode_io__4.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_left_0__1_.logical_tile_io_mode_io__4.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_left_0__1_.logical_tile_io_mode_io__5.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_left_0__1_.logical_tile_io_mode_io__5.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_left_0__1_.logical_tile_io_mode_io__6.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_left_0__1_.logical_tile_io_mode_io__6.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_left_0__1_.logical_tile_io_mode_io__7.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_left_0__1_.logical_tile_io_mode_io__7.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_left_0__2_.logical_tile_io_mode_io__0.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_left_0__2_.logical_tile_io_mode_io__0.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_left_0__2_.logical_tile_io_mode_io__1.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_left_0__2_.logical_tile_io_mode_io__1.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_left_0__2_.logical_tile_io_mode_io__2.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_left_0__2_.logical_tile_io_mode_io__2.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_left_0__2_.logical_tile_io_mode_io__3.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_left_0__2_.logical_tile_io_mode_io__3.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_left_0__2_.logical_tile_io_mode_io__4.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_left_0__2_.logical_tile_io_mode_io__4.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_left_0__2_.logical_tile_io_mode_io__5.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_left_0__2_.logical_tile_io_mode_io__5.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_left_0__2_.logical_tile_io_mode_io__6.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_left_0__2_.logical_tile_io_mode_io__6.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_left_0__2_.logical_tile_io_mode_io__7.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_left_0__2_.logical_tile_io_mode_io__7.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_left_0__3_.logical_tile_io_mode_io__0.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_left_0__3_.logical_tile_io_mode_io__0.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_left_0__3_.logical_tile_io_mode_io__1.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_left_0__3_.logical_tile_io_mode_io__1.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_left_0__3_.logical_tile_io_mode_io__2.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_left_0__3_.logical_tile_io_mode_io__2.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_left_0__3_.logical_tile_io_mode_io__3.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_left_0__3_.logical_tile_io_mode_io__3.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_left_0__3_.logical_tile_io_mode_io__4.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_left_0__3_.logical_tile_io_mode_io__4.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_left_0__3_.logical_tile_io_mode_io__5.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_left_0__3_.logical_tile_io_mode_io__5.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_left_0__3_.logical_tile_io_mode_io__6.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_left_0__3_.logical_tile_io_mode_io__6.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_left_0__3_.logical_tile_io_mode_io__7.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_left_0__3_.logical_tile_io_mode_io__7.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_left_0__4_.logical_tile_io_mode_io__0.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_left_0__4_.logical_tile_io_mode_io__0.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_left_0__4_.logical_tile_io_mode_io__1.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_left_0__4_.logical_tile_io_mode_io__1.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_left_0__4_.logical_tile_io_mode_io__2.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_left_0__4_.logical_tile_io_mode_io__2.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_left_0__4_.logical_tile_io_mode_io__3.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_left_0__4_.logical_tile_io_mode_io__3.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_left_0__4_.logical_tile_io_mode_io__4.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_left_0__4_.logical_tile_io_mode_io__4.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_left_0__4_.logical_tile_io_mode_io__5.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_left_0__4_.logical_tile_io_mode_io__5.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_left_0__4_.logical_tile_io_mode_io__6.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_left_0__4_.logical_tile_io_mode_io__6.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.grid_io_left_0__4_.logical_tile_io_mode_io__7.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0] = 1'b1; + force U0_formal_verification.grid_io_left_0__4_.logical_tile_io_mode_io__7.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_outb[0] = 1'b0; + force U0_formal_verification.sb_0__0_.mem_top_track_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__0_.mem_top_track_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__0_.mem_top_track_2.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__0_.mem_top_track_2.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__0_.mem_top_track_4.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__0_.mem_top_track_4.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__0_.mem_top_track_6.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__0_.mem_top_track_6.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__0_.mem_top_track_8.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__0_.mem_top_track_8.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__0_.mem_top_track_10.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__0_.mem_top_track_10.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__0_.mem_top_track_12.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__0_.mem_top_track_12.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__0_.mem_top_track_14.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__0_.mem_top_track_14.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__0_.mem_top_track_16.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__0_.mem_top_track_16.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__0_.mem_right_track_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__0_.mem_right_track_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__0_.mem_right_track_2.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__0_.mem_right_track_2.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__0_.mem_right_track_4.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__0_.mem_right_track_4.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__0_.mem_right_track_6.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__0_.mem_right_track_6.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__0_.mem_right_track_8.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__0_.mem_right_track_8.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__0_.mem_right_track_10.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__0_.mem_right_track_10.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__0_.mem_right_track_12.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__0_.mem_right_track_12.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__0_.mem_right_track_14.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__0_.mem_right_track_14.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__0_.mem_right_track_16.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__0_.mem_right_track_16.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__1_.mem_top_track_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_0__1_.mem_top_track_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_0__1_.mem_top_track_8.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_0__1_.mem_top_track_8.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_0__1_.mem_top_track_16.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_0__1_.mem_top_track_16.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_0__1_.mem_right_track_2.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__1_.mem_right_track_2.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__1_.mem_right_track_4.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__1_.mem_right_track_4.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__1_.mem_right_track_6.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__1_.mem_right_track_6.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__1_.mem_right_track_8.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__1_.mem_right_track_8.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__1_.mem_right_track_10.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__1_.mem_right_track_10.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__1_.mem_right_track_12.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__1_.mem_right_track_12.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__1_.mem_right_track_14.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__1_.mem_right_track_14.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__1_.mem_right_track_16.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__1_.mem_right_track_16.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__1_.mem_bottom_track_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_0__1_.mem_bottom_track_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_0__1_.mem_bottom_track_9.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_0__1_.mem_bottom_track_9.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_0__1_.mem_bottom_track_17.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_0__1_.mem_bottom_track_17.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_0__2_.mem_top_track_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_0__2_.mem_top_track_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_0__2_.mem_top_track_8.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_0__2_.mem_top_track_8.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_0__2_.mem_top_track_16.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_0__2_.mem_top_track_16.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_0__2_.mem_right_track_2.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__2_.mem_right_track_2.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__2_.mem_right_track_4.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__2_.mem_right_track_4.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__2_.mem_right_track_6.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__2_.mem_right_track_6.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__2_.mem_right_track_8.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__2_.mem_right_track_8.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__2_.mem_right_track_10.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__2_.mem_right_track_10.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__2_.mem_right_track_12.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__2_.mem_right_track_12.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__2_.mem_right_track_14.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__2_.mem_right_track_14.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__2_.mem_right_track_16.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__2_.mem_right_track_16.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__2_.mem_bottom_track_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_0__2_.mem_bottom_track_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_0__2_.mem_bottom_track_9.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_0__2_.mem_bottom_track_9.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_0__2_.mem_bottom_track_17.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_0__2_.mem_bottom_track_17.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_0__3_.mem_top_track_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_0__3_.mem_top_track_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_0__3_.mem_top_track_8.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_0__3_.mem_top_track_8.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_0__3_.mem_top_track_16.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_0__3_.mem_top_track_16.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_0__3_.mem_right_track_2.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__3_.mem_right_track_2.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__3_.mem_right_track_4.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__3_.mem_right_track_4.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__3_.mem_right_track_6.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__3_.mem_right_track_6.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__3_.mem_right_track_8.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__3_.mem_right_track_8.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__3_.mem_right_track_10.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__3_.mem_right_track_10.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__3_.mem_right_track_12.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__3_.mem_right_track_12.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__3_.mem_right_track_14.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__3_.mem_right_track_14.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__3_.mem_right_track_16.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__3_.mem_right_track_16.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__3_.mem_bottom_track_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_0__3_.mem_bottom_track_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_0__3_.mem_bottom_track_9.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_0__3_.mem_bottom_track_9.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_0__3_.mem_bottom_track_17.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_0__3_.mem_bottom_track_17.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_0__4_.mem_right_track_0.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__4_.mem_right_track_0.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__4_.mem_right_track_2.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__4_.mem_right_track_2.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__4_.mem_right_track_4.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__4_.mem_right_track_4.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__4_.mem_right_track_6.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__4_.mem_right_track_6.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__4_.mem_right_track_8.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__4_.mem_right_track_8.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__4_.mem_right_track_10.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__4_.mem_right_track_10.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__4_.mem_right_track_12.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__4_.mem_right_track_12.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__4_.mem_right_track_14.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__4_.mem_right_track_14.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__4_.mem_right_track_16.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__4_.mem_right_track_16.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__4_.mem_bottom_track_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__4_.mem_bottom_track_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__4_.mem_bottom_track_3.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__4_.mem_bottom_track_3.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__4_.mem_bottom_track_5.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__4_.mem_bottom_track_5.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__4_.mem_bottom_track_7.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__4_.mem_bottom_track_7.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__4_.mem_bottom_track_9.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__4_.mem_bottom_track_9.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__4_.mem_bottom_track_11.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__4_.mem_bottom_track_11.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__4_.mem_bottom_track_13.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__4_.mem_bottom_track_13.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__4_.mem_bottom_track_15.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__4_.mem_bottom_track_15.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_0__4_.mem_bottom_track_17.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_0__4_.mem_bottom_track_17.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_1__0_.mem_top_track_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.sb_1__0_.mem_top_track_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.sb_1__0_.mem_top_track_2.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_1__0_.mem_top_track_2.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_1__0_.mem_top_track_8.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_1__0_.mem_top_track_8.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_1__0_.mem_top_track_10.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_1__0_.mem_top_track_10.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_1__0_.mem_top_track_16.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_1__0_.mem_top_track_16.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_1__0_.mem_top_track_18.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.sb_1__0_.mem_top_track_18.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.sb_1__0_.mem_right_track_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_1__0_.mem_right_track_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_1__0_.mem_right_track_8.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_1__0_.mem_right_track_8.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_1__0_.mem_right_track_16.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_1__0_.mem_right_track_16.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_1__0_.mem_left_track_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_1__0_.mem_left_track_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_1__0_.mem_left_track_9.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_1__0_.mem_left_track_9.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_1__0_.mem_left_track_17.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_1__0_.mem_left_track_17.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_1__1_.mem_top_track_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_1__1_.mem_top_track_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_1__1_.mem_top_track_8.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_1__1_.mem_top_track_8.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_1__1_.mem_top_track_16.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_1__1_.mem_top_track_16.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_1__1_.mem_right_track_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_1__1_.mem_right_track_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_1__1_.mem_right_track_8.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_1__1_.mem_right_track_8.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_1__1_.mem_right_track_16.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_1__1_.mem_right_track_16.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_1__1_.mem_bottom_track_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_1__1_.mem_bottom_track_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_1__1_.mem_bottom_track_9.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_1__1_.mem_bottom_track_9.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_1__1_.mem_bottom_track_17.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_1__1_.mem_bottom_track_17.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_1__1_.mem_left_track_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_1__1_.mem_left_track_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_1__1_.mem_left_track_9.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_1__1_.mem_left_track_9.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_1__1_.mem_left_track_17.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_1__1_.mem_left_track_17.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_1__2_.mem_top_track_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_1__2_.mem_top_track_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_1__2_.mem_top_track_8.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_1__2_.mem_top_track_8.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_1__2_.mem_top_track_16.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_1__2_.mem_top_track_16.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_1__2_.mem_right_track_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_1__2_.mem_right_track_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_1__2_.mem_right_track_8.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_1__2_.mem_right_track_8.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_1__2_.mem_right_track_16.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_1__2_.mem_right_track_16.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_1__2_.mem_bottom_track_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_1__2_.mem_bottom_track_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_1__2_.mem_bottom_track_9.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_1__2_.mem_bottom_track_9.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_1__2_.mem_bottom_track_17.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_1__2_.mem_bottom_track_17.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_1__2_.mem_left_track_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_1__2_.mem_left_track_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_1__2_.mem_left_track_9.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_1__2_.mem_left_track_9.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_1__2_.mem_left_track_17.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_1__2_.mem_left_track_17.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_1__3_.mem_top_track_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_1__3_.mem_top_track_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_1__3_.mem_top_track_8.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_1__3_.mem_top_track_8.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_1__3_.mem_top_track_16.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_1__3_.mem_top_track_16.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_1__3_.mem_right_track_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_1__3_.mem_right_track_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_1__3_.mem_right_track_8.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_1__3_.mem_right_track_8.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_1__3_.mem_right_track_16.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_1__3_.mem_right_track_16.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_1__3_.mem_bottom_track_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_1__3_.mem_bottom_track_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_1__3_.mem_bottom_track_9.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_1__3_.mem_bottom_track_9.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_1__3_.mem_bottom_track_17.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_1__3_.mem_bottom_track_17.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_1__3_.mem_left_track_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_1__3_.mem_left_track_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_1__3_.mem_left_track_9.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_1__3_.mem_left_track_9.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_1__3_.mem_left_track_17.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_1__3_.mem_left_track_17.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_1__4_.mem_right_track_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_1__4_.mem_right_track_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_1__4_.mem_right_track_8.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_1__4_.mem_right_track_8.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_1__4_.mem_right_track_16.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_1__4_.mem_right_track_16.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_1__4_.mem_bottom_track_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_1__4_.mem_bottom_track_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_1__4_.mem_bottom_track_3.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_1__4_.mem_bottom_track_3.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_1__4_.mem_bottom_track_5.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_1__4_.mem_bottom_track_5.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_1__4_.mem_bottom_track_7.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_1__4_.mem_bottom_track_7.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_1__4_.mem_bottom_track_9.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_1__4_.mem_bottom_track_9.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_1__4_.mem_bottom_track_11.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_1__4_.mem_bottom_track_11.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_1__4_.mem_bottom_track_13.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_1__4_.mem_bottom_track_13.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_1__4_.mem_bottom_track_15.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_1__4_.mem_bottom_track_15.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_1__4_.mem_bottom_track_17.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_1__4_.mem_bottom_track_17.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_1__4_.mem_bottom_track_19.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_1__4_.mem_bottom_track_19.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_1__4_.mem_left_track_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_1__4_.mem_left_track_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_1__4_.mem_left_track_9.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_1__4_.mem_left_track_9.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_1__4_.mem_left_track_17.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_1__4_.mem_left_track_17.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_2__0_.mem_top_track_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.sb_2__0_.mem_top_track_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.sb_2__0_.mem_top_track_2.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_2__0_.mem_top_track_2.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_2__0_.mem_top_track_8.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_2__0_.mem_top_track_8.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_2__0_.mem_top_track_10.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_2__0_.mem_top_track_10.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_2__0_.mem_top_track_16.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_2__0_.mem_top_track_16.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_2__0_.mem_top_track_18.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.sb_2__0_.mem_top_track_18.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.sb_2__0_.mem_right_track_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_2__0_.mem_right_track_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_2__0_.mem_right_track_8.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_2__0_.mem_right_track_8.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_2__0_.mem_right_track_16.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_2__0_.mem_right_track_16.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_2__0_.mem_left_track_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_2__0_.mem_left_track_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_2__0_.mem_left_track_9.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_2__0_.mem_left_track_9.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_2__0_.mem_left_track_17.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_2__0_.mem_left_track_17.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_2__1_.mem_top_track_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_2__1_.mem_top_track_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_2__1_.mem_top_track_8.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_2__1_.mem_top_track_8.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_2__1_.mem_top_track_16.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_2__1_.mem_top_track_16.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_2__1_.mem_right_track_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_2__1_.mem_right_track_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_2__1_.mem_right_track_8.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_2__1_.mem_right_track_8.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_2__1_.mem_right_track_16.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_2__1_.mem_right_track_16.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_2__1_.mem_bottom_track_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_2__1_.mem_bottom_track_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_2__1_.mem_bottom_track_9.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_2__1_.mem_bottom_track_9.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_2__1_.mem_bottom_track_17.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_2__1_.mem_bottom_track_17.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_2__1_.mem_left_track_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_2__1_.mem_left_track_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_2__1_.mem_left_track_9.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_2__1_.mem_left_track_9.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_2__1_.mem_left_track_17.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_2__1_.mem_left_track_17.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_2__2_.mem_top_track_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_2__2_.mem_top_track_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_2__2_.mem_top_track_8.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_2__2_.mem_top_track_8.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_2__2_.mem_top_track_16.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_2__2_.mem_top_track_16.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_2__2_.mem_right_track_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_2__2_.mem_right_track_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_2__2_.mem_right_track_8.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_2__2_.mem_right_track_8.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_2__2_.mem_right_track_16.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_2__2_.mem_right_track_16.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_2__2_.mem_bottom_track_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_2__2_.mem_bottom_track_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_2__2_.mem_bottom_track_9.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_2__2_.mem_bottom_track_9.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_2__2_.mem_bottom_track_17.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_2__2_.mem_bottom_track_17.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_2__2_.mem_left_track_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_2__2_.mem_left_track_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_2__2_.mem_left_track_9.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_2__2_.mem_left_track_9.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_2__2_.mem_left_track_17.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_2__2_.mem_left_track_17.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_2__3_.mem_top_track_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_2__3_.mem_top_track_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_2__3_.mem_top_track_8.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_2__3_.mem_top_track_8.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_2__3_.mem_top_track_16.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_2__3_.mem_top_track_16.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_2__3_.mem_right_track_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_2__3_.mem_right_track_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_2__3_.mem_right_track_8.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_2__3_.mem_right_track_8.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_2__3_.mem_right_track_16.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_2__3_.mem_right_track_16.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_2__3_.mem_bottom_track_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_2__3_.mem_bottom_track_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_2__3_.mem_bottom_track_9.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_2__3_.mem_bottom_track_9.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_2__3_.mem_bottom_track_17.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_2__3_.mem_bottom_track_17.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_2__3_.mem_left_track_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_2__3_.mem_left_track_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_2__3_.mem_left_track_9.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_2__3_.mem_left_track_9.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_2__3_.mem_left_track_17.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_2__3_.mem_left_track_17.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_2__4_.mem_right_track_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_2__4_.mem_right_track_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_2__4_.mem_right_track_8.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_2__4_.mem_right_track_8.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_2__4_.mem_right_track_16.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_2__4_.mem_right_track_16.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_2__4_.mem_bottom_track_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_2__4_.mem_bottom_track_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_2__4_.mem_bottom_track_3.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_2__4_.mem_bottom_track_3.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_2__4_.mem_bottom_track_5.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_2__4_.mem_bottom_track_5.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_2__4_.mem_bottom_track_7.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_2__4_.mem_bottom_track_7.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_2__4_.mem_bottom_track_9.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_2__4_.mem_bottom_track_9.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_2__4_.mem_bottom_track_11.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_2__4_.mem_bottom_track_11.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_2__4_.mem_bottom_track_13.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_2__4_.mem_bottom_track_13.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_2__4_.mem_bottom_track_15.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_2__4_.mem_bottom_track_15.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_2__4_.mem_bottom_track_17.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_2__4_.mem_bottom_track_17.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_2__4_.mem_bottom_track_19.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_2__4_.mem_bottom_track_19.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_2__4_.mem_left_track_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_2__4_.mem_left_track_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_2__4_.mem_left_track_9.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_2__4_.mem_left_track_9.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_2__4_.mem_left_track_17.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_2__4_.mem_left_track_17.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_3__0_.mem_top_track_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.sb_3__0_.mem_top_track_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.sb_3__0_.mem_top_track_2.mem_out[0:1] = 2'b01; + force U0_formal_verification.sb_3__0_.mem_top_track_2.mem_outb[0:1] = 2'b10; + force U0_formal_verification.sb_3__0_.mem_top_track_8.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_3__0_.mem_top_track_8.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_3__0_.mem_top_track_10.mem_out[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_3__0_.mem_top_track_10.mem_outb[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_3__0_.mem_top_track_16.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_3__0_.mem_top_track_16.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_3__0_.mem_top_track_18.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.sb_3__0_.mem_top_track_18.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.sb_3__0_.mem_right_track_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_3__0_.mem_right_track_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_3__0_.mem_right_track_8.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_3__0_.mem_right_track_8.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_3__0_.mem_right_track_16.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_3__0_.mem_right_track_16.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_3__0_.mem_left_track_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_3__0_.mem_left_track_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_3__0_.mem_left_track_9.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_3__0_.mem_left_track_9.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_3__0_.mem_left_track_17.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_3__0_.mem_left_track_17.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_3__1_.mem_top_track_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_3__1_.mem_top_track_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_3__1_.mem_top_track_8.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_3__1_.mem_top_track_8.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_3__1_.mem_top_track_16.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_3__1_.mem_top_track_16.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_3__1_.mem_right_track_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_3__1_.mem_right_track_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_3__1_.mem_right_track_8.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_3__1_.mem_right_track_8.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_3__1_.mem_right_track_16.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_3__1_.mem_right_track_16.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_3__1_.mem_bottom_track_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_3__1_.mem_bottom_track_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_3__1_.mem_bottom_track_9.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_3__1_.mem_bottom_track_9.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_3__1_.mem_bottom_track_17.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_3__1_.mem_bottom_track_17.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_3__1_.mem_left_track_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_3__1_.mem_left_track_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_3__1_.mem_left_track_9.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_3__1_.mem_left_track_9.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_3__1_.mem_left_track_17.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_3__1_.mem_left_track_17.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_3__2_.mem_top_track_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_3__2_.mem_top_track_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_3__2_.mem_top_track_8.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_3__2_.mem_top_track_8.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_3__2_.mem_top_track_16.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_3__2_.mem_top_track_16.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_3__2_.mem_right_track_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_3__2_.mem_right_track_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_3__2_.mem_right_track_8.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_3__2_.mem_right_track_8.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_3__2_.mem_right_track_16.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_3__2_.mem_right_track_16.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_3__2_.mem_bottom_track_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_3__2_.mem_bottom_track_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_3__2_.mem_bottom_track_9.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_3__2_.mem_bottom_track_9.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_3__2_.mem_bottom_track_17.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_3__2_.mem_bottom_track_17.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_3__2_.mem_left_track_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_3__2_.mem_left_track_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_3__2_.mem_left_track_9.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_3__2_.mem_left_track_9.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_3__2_.mem_left_track_17.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_3__2_.mem_left_track_17.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_3__3_.mem_top_track_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_3__3_.mem_top_track_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_3__3_.mem_top_track_8.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_3__3_.mem_top_track_8.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_3__3_.mem_top_track_16.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_3__3_.mem_top_track_16.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_3__3_.mem_right_track_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_3__3_.mem_right_track_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_3__3_.mem_right_track_8.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_3__3_.mem_right_track_8.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_3__3_.mem_right_track_16.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_3__3_.mem_right_track_16.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_3__3_.mem_bottom_track_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_3__3_.mem_bottom_track_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_3__3_.mem_bottom_track_9.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_3__3_.mem_bottom_track_9.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_3__3_.mem_bottom_track_17.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_3__3_.mem_bottom_track_17.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_3__3_.mem_left_track_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_3__3_.mem_left_track_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_3__3_.mem_left_track_9.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_3__3_.mem_left_track_9.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_3__3_.mem_left_track_17.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_3__3_.mem_left_track_17.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_3__4_.mem_right_track_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_3__4_.mem_right_track_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_3__4_.mem_right_track_8.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_3__4_.mem_right_track_8.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_3__4_.mem_right_track_16.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_3__4_.mem_right_track_16.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_3__4_.mem_bottom_track_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_3__4_.mem_bottom_track_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_3__4_.mem_bottom_track_3.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_3__4_.mem_bottom_track_3.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_3__4_.mem_bottom_track_5.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_3__4_.mem_bottom_track_5.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_3__4_.mem_bottom_track_7.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_3__4_.mem_bottom_track_7.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_3__4_.mem_bottom_track_9.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_3__4_.mem_bottom_track_9.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_3__4_.mem_bottom_track_11.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_3__4_.mem_bottom_track_11.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_3__4_.mem_bottom_track_13.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_3__4_.mem_bottom_track_13.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_3__4_.mem_bottom_track_15.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_3__4_.mem_bottom_track_15.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_3__4_.mem_bottom_track_17.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_3__4_.mem_bottom_track_17.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_3__4_.mem_bottom_track_19.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_3__4_.mem_bottom_track_19.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_3__4_.mem_left_track_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_3__4_.mem_left_track_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_3__4_.mem_left_track_9.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_3__4_.mem_left_track_9.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_3__4_.mem_left_track_17.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_3__4_.mem_left_track_17.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_4__0_.mem_top_track_0.mem_out[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__0_.mem_top_track_0.mem_outb[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__0_.mem_top_track_2.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__0_.mem_top_track_2.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__0_.mem_top_track_4.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__0_.mem_top_track_4.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__0_.mem_top_track_6.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__0_.mem_top_track_6.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__0_.mem_top_track_8.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__0_.mem_top_track_8.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__0_.mem_top_track_10.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__0_.mem_top_track_10.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__0_.mem_top_track_12.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__0_.mem_top_track_12.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__0_.mem_top_track_14.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__0_.mem_top_track_14.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__0_.mem_top_track_16.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__0_.mem_top_track_16.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__0_.mem_left_track_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__0_.mem_left_track_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__0_.mem_left_track_3.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__0_.mem_left_track_3.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__0_.mem_left_track_5.mem_out[0:1] = 2'b01; + force U0_formal_verification.sb_4__0_.mem_left_track_5.mem_outb[0:1] = 2'b10; + force U0_formal_verification.sb_4__0_.mem_left_track_7.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__0_.mem_left_track_7.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__0_.mem_left_track_9.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__0_.mem_left_track_9.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__0_.mem_left_track_11.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__0_.mem_left_track_11.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__0_.mem_left_track_13.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__0_.mem_left_track_13.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__0_.mem_left_track_15.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__0_.mem_left_track_15.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__0_.mem_left_track_17.mem_out[0:1] = 2'b01; + force U0_formal_verification.sb_4__0_.mem_left_track_17.mem_outb[0:1] = 2'b10; + force U0_formal_verification.sb_4__1_.mem_top_track_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_4__1_.mem_top_track_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_4__1_.mem_top_track_8.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_4__1_.mem_top_track_8.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_4__1_.mem_top_track_16.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_4__1_.mem_top_track_16.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_4__1_.mem_bottom_track_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_4__1_.mem_bottom_track_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_4__1_.mem_bottom_track_9.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_4__1_.mem_bottom_track_9.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_4__1_.mem_bottom_track_17.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_4__1_.mem_bottom_track_17.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_4__1_.mem_left_track_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__1_.mem_left_track_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__1_.mem_left_track_3.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__1_.mem_left_track_3.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__1_.mem_left_track_5.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__1_.mem_left_track_5.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__1_.mem_left_track_7.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__1_.mem_left_track_7.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__1_.mem_left_track_9.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__1_.mem_left_track_9.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__1_.mem_left_track_11.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__1_.mem_left_track_11.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__1_.mem_left_track_13.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__1_.mem_left_track_13.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__1_.mem_left_track_15.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__1_.mem_left_track_15.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__1_.mem_left_track_17.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__1_.mem_left_track_17.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__1_.mem_left_track_19.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__1_.mem_left_track_19.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__2_.mem_top_track_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_4__2_.mem_top_track_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_4__2_.mem_top_track_8.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_4__2_.mem_top_track_8.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_4__2_.mem_top_track_16.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_4__2_.mem_top_track_16.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_4__2_.mem_bottom_track_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_4__2_.mem_bottom_track_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_4__2_.mem_bottom_track_9.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_4__2_.mem_bottom_track_9.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_4__2_.mem_bottom_track_17.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_4__2_.mem_bottom_track_17.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_4__2_.mem_left_track_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__2_.mem_left_track_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__2_.mem_left_track_3.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__2_.mem_left_track_3.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__2_.mem_left_track_5.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__2_.mem_left_track_5.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__2_.mem_left_track_7.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__2_.mem_left_track_7.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__2_.mem_left_track_9.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__2_.mem_left_track_9.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__2_.mem_left_track_11.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__2_.mem_left_track_11.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__2_.mem_left_track_13.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__2_.mem_left_track_13.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__2_.mem_left_track_15.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__2_.mem_left_track_15.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__2_.mem_left_track_17.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__2_.mem_left_track_17.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__2_.mem_left_track_19.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__2_.mem_left_track_19.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__3_.mem_top_track_0.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_4__3_.mem_top_track_0.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_4__3_.mem_top_track_8.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_4__3_.mem_top_track_8.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_4__3_.mem_top_track_16.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_4__3_.mem_top_track_16.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_4__3_.mem_bottom_track_1.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_4__3_.mem_bottom_track_1.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_4__3_.mem_bottom_track_9.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_4__3_.mem_bottom_track_9.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_4__3_.mem_bottom_track_17.mem_out[0:3] = {4{1'b0}}; + force U0_formal_verification.sb_4__3_.mem_bottom_track_17.mem_outb[0:3] = {4{1'b1}}; + force U0_formal_verification.sb_4__3_.mem_left_track_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__3_.mem_left_track_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__3_.mem_left_track_3.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__3_.mem_left_track_3.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__3_.mem_left_track_5.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__3_.mem_left_track_5.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__3_.mem_left_track_7.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__3_.mem_left_track_7.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__3_.mem_left_track_9.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__3_.mem_left_track_9.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__3_.mem_left_track_11.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__3_.mem_left_track_11.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__3_.mem_left_track_13.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__3_.mem_left_track_13.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__3_.mem_left_track_15.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__3_.mem_left_track_15.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__3_.mem_left_track_17.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__3_.mem_left_track_17.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__3_.mem_left_track_19.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__3_.mem_left_track_19.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__4_.mem_bottom_track_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__4_.mem_bottom_track_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__4_.mem_bottom_track_3.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__4_.mem_bottom_track_3.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__4_.mem_bottom_track_5.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__4_.mem_bottom_track_5.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__4_.mem_bottom_track_7.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__4_.mem_bottom_track_7.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__4_.mem_bottom_track_9.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__4_.mem_bottom_track_9.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__4_.mem_bottom_track_11.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__4_.mem_bottom_track_11.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__4_.mem_bottom_track_13.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__4_.mem_bottom_track_13.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__4_.mem_bottom_track_15.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__4_.mem_bottom_track_15.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__4_.mem_bottom_track_17.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__4_.mem_bottom_track_17.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__4_.mem_left_track_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__4_.mem_left_track_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__4_.mem_left_track_3.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__4_.mem_left_track_3.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__4_.mem_left_track_5.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__4_.mem_left_track_5.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__4_.mem_left_track_7.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__4_.mem_left_track_7.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__4_.mem_left_track_9.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__4_.mem_left_track_9.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__4_.mem_left_track_11.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__4_.mem_left_track_11.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__4_.mem_left_track_13.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__4_.mem_left_track_13.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__4_.mem_left_track_15.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__4_.mem_left_track_15.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.sb_4__4_.mem_left_track_17.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.sb_4__4_.mem_left_track_17.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cbx_1__0_.mem_bottom_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_1__0_.mem_bottom_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_1__0_.mem_bottom_ipin_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cbx_1__0_.mem_bottom_ipin_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cbx_1__0_.mem_bottom_ipin_2.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_1__0_.mem_bottom_ipin_2.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_1__0_.mem_top_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_1__0_.mem_top_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_1__0_.mem_top_ipin_1.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_1__0_.mem_top_ipin_1.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_1__0_.mem_top_ipin_2.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_1__0_.mem_top_ipin_2.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_1__0_.mem_top_ipin_3.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_1__0_.mem_top_ipin_3.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_1__0_.mem_top_ipin_4.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_1__0_.mem_top_ipin_4.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_1__0_.mem_top_ipin_5.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_1__0_.mem_top_ipin_5.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_1__0_.mem_top_ipin_6.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_1__0_.mem_top_ipin_6.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_1__0_.mem_top_ipin_7.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_1__0_.mem_top_ipin_7.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_1__1_.mem_bottom_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_1__1_.mem_bottom_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_1__1_.mem_bottom_ipin_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cbx_1__1_.mem_bottom_ipin_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cbx_1__1_.mem_bottom_ipin_2.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_1__1_.mem_bottom_ipin_2.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_1__1_.mem_top_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_1__1_.mem_top_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_1__1_.mem_top_ipin_1.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_1__1_.mem_top_ipin_1.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_1__1_.mem_top_ipin_2.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cbx_1__1_.mem_top_ipin_2.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cbx_1__2_.mem_bottom_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_1__2_.mem_bottom_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_1__2_.mem_bottom_ipin_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cbx_1__2_.mem_bottom_ipin_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cbx_1__2_.mem_bottom_ipin_2.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_1__2_.mem_bottom_ipin_2.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_1__2_.mem_top_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_1__2_.mem_top_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_1__2_.mem_top_ipin_1.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_1__2_.mem_top_ipin_1.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_1__2_.mem_top_ipin_2.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cbx_1__2_.mem_top_ipin_2.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cbx_1__3_.mem_bottom_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_1__3_.mem_bottom_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_1__3_.mem_bottom_ipin_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cbx_1__3_.mem_bottom_ipin_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cbx_1__3_.mem_bottom_ipin_2.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_1__3_.mem_bottom_ipin_2.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_1__3_.mem_top_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_1__3_.mem_top_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_1__3_.mem_top_ipin_1.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_1__3_.mem_top_ipin_1.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_1__3_.mem_top_ipin_2.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cbx_1__3_.mem_top_ipin_2.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cbx_1__4_.mem_bottom_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_1__4_.mem_bottom_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_1__4_.mem_bottom_ipin_1.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_1__4_.mem_bottom_ipin_1.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_1__4_.mem_bottom_ipin_2.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_1__4_.mem_bottom_ipin_2.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_1__4_.mem_bottom_ipin_3.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_1__4_.mem_bottom_ipin_3.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_1__4_.mem_bottom_ipin_4.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_1__4_.mem_bottom_ipin_4.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_1__4_.mem_bottom_ipin_5.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_1__4_.mem_bottom_ipin_5.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_1__4_.mem_bottom_ipin_6.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_1__4_.mem_bottom_ipin_6.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_1__4_.mem_bottom_ipin_7.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_1__4_.mem_bottom_ipin_7.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_1__4_.mem_top_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_1__4_.mem_top_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_1__4_.mem_top_ipin_1.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_1__4_.mem_top_ipin_1.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_1__4_.mem_top_ipin_2.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cbx_1__4_.mem_top_ipin_2.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cbx_2__0_.mem_bottom_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_2__0_.mem_bottom_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_2__0_.mem_bottom_ipin_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cbx_2__0_.mem_bottom_ipin_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cbx_2__0_.mem_bottom_ipin_2.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_2__0_.mem_bottom_ipin_2.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_2__0_.mem_top_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_2__0_.mem_top_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_2__0_.mem_top_ipin_1.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_2__0_.mem_top_ipin_1.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_2__0_.mem_top_ipin_2.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_2__0_.mem_top_ipin_2.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_2__0_.mem_top_ipin_3.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_2__0_.mem_top_ipin_3.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_2__0_.mem_top_ipin_4.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_2__0_.mem_top_ipin_4.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_2__0_.mem_top_ipin_5.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_2__0_.mem_top_ipin_5.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_2__0_.mem_top_ipin_6.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_2__0_.mem_top_ipin_6.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_2__0_.mem_top_ipin_7.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_2__0_.mem_top_ipin_7.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_2__1_.mem_bottom_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_2__1_.mem_bottom_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_2__1_.mem_bottom_ipin_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cbx_2__1_.mem_bottom_ipin_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cbx_2__1_.mem_bottom_ipin_2.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_2__1_.mem_bottom_ipin_2.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_2__1_.mem_top_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_2__1_.mem_top_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_2__1_.mem_top_ipin_1.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_2__1_.mem_top_ipin_1.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_2__1_.mem_top_ipin_2.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cbx_2__1_.mem_top_ipin_2.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cbx_2__2_.mem_bottom_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_2__2_.mem_bottom_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_2__2_.mem_bottom_ipin_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cbx_2__2_.mem_bottom_ipin_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cbx_2__2_.mem_bottom_ipin_2.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_2__2_.mem_bottom_ipin_2.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_2__2_.mem_top_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_2__2_.mem_top_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_2__2_.mem_top_ipin_1.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_2__2_.mem_top_ipin_1.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_2__2_.mem_top_ipin_2.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cbx_2__2_.mem_top_ipin_2.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cbx_2__3_.mem_bottom_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_2__3_.mem_bottom_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_2__3_.mem_bottom_ipin_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cbx_2__3_.mem_bottom_ipin_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cbx_2__3_.mem_bottom_ipin_2.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_2__3_.mem_bottom_ipin_2.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_2__3_.mem_top_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_2__3_.mem_top_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_2__3_.mem_top_ipin_1.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_2__3_.mem_top_ipin_1.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_2__3_.mem_top_ipin_2.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cbx_2__3_.mem_top_ipin_2.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cbx_2__4_.mem_bottom_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_2__4_.mem_bottom_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_2__4_.mem_bottom_ipin_1.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_2__4_.mem_bottom_ipin_1.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_2__4_.mem_bottom_ipin_2.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_2__4_.mem_bottom_ipin_2.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_2__4_.mem_bottom_ipin_3.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_2__4_.mem_bottom_ipin_3.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_2__4_.mem_bottom_ipin_4.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_2__4_.mem_bottom_ipin_4.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_2__4_.mem_bottom_ipin_5.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_2__4_.mem_bottom_ipin_5.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_2__4_.mem_bottom_ipin_6.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_2__4_.mem_bottom_ipin_6.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_2__4_.mem_bottom_ipin_7.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_2__4_.mem_bottom_ipin_7.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_2__4_.mem_top_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_2__4_.mem_top_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_2__4_.mem_top_ipin_1.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_2__4_.mem_top_ipin_1.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_2__4_.mem_top_ipin_2.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cbx_2__4_.mem_top_ipin_2.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cbx_3__0_.mem_bottom_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_3__0_.mem_bottom_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_3__0_.mem_bottom_ipin_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cbx_3__0_.mem_bottom_ipin_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cbx_3__0_.mem_bottom_ipin_2.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_3__0_.mem_bottom_ipin_2.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_3__0_.mem_top_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_3__0_.mem_top_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_3__0_.mem_top_ipin_1.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_3__0_.mem_top_ipin_1.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_3__0_.mem_top_ipin_2.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_3__0_.mem_top_ipin_2.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_3__0_.mem_top_ipin_3.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_3__0_.mem_top_ipin_3.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_3__0_.mem_top_ipin_4.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_3__0_.mem_top_ipin_4.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_3__0_.mem_top_ipin_5.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_3__0_.mem_top_ipin_5.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_3__0_.mem_top_ipin_6.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_3__0_.mem_top_ipin_6.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_3__0_.mem_top_ipin_7.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_3__0_.mem_top_ipin_7.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_3__1_.mem_bottom_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_3__1_.mem_bottom_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_3__1_.mem_bottom_ipin_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cbx_3__1_.mem_bottom_ipin_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cbx_3__1_.mem_bottom_ipin_2.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_3__1_.mem_bottom_ipin_2.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_3__1_.mem_top_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_3__1_.mem_top_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_3__1_.mem_top_ipin_1.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_3__1_.mem_top_ipin_1.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_3__1_.mem_top_ipin_2.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cbx_3__1_.mem_top_ipin_2.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cbx_3__2_.mem_bottom_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_3__2_.mem_bottom_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_3__2_.mem_bottom_ipin_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cbx_3__2_.mem_bottom_ipin_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cbx_3__2_.mem_bottom_ipin_2.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_3__2_.mem_bottom_ipin_2.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_3__2_.mem_top_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_3__2_.mem_top_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_3__2_.mem_top_ipin_1.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_3__2_.mem_top_ipin_1.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_3__2_.mem_top_ipin_2.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cbx_3__2_.mem_top_ipin_2.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cbx_3__3_.mem_bottom_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_3__3_.mem_bottom_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_3__3_.mem_bottom_ipin_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cbx_3__3_.mem_bottom_ipin_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cbx_3__3_.mem_bottom_ipin_2.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_3__3_.mem_bottom_ipin_2.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_3__3_.mem_top_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_3__3_.mem_top_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_3__3_.mem_top_ipin_1.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_3__3_.mem_top_ipin_1.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_3__3_.mem_top_ipin_2.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cbx_3__3_.mem_top_ipin_2.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cbx_3__4_.mem_bottom_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_3__4_.mem_bottom_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_3__4_.mem_bottom_ipin_1.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_3__4_.mem_bottom_ipin_1.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_3__4_.mem_bottom_ipin_2.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_3__4_.mem_bottom_ipin_2.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_3__4_.mem_bottom_ipin_3.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_3__4_.mem_bottom_ipin_3.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_3__4_.mem_bottom_ipin_4.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_3__4_.mem_bottom_ipin_4.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_3__4_.mem_bottom_ipin_5.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_3__4_.mem_bottom_ipin_5.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_3__4_.mem_bottom_ipin_6.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_3__4_.mem_bottom_ipin_6.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_3__4_.mem_bottom_ipin_7.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_3__4_.mem_bottom_ipin_7.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_3__4_.mem_top_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_3__4_.mem_top_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_3__4_.mem_top_ipin_1.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_3__4_.mem_top_ipin_1.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_3__4_.mem_top_ipin_2.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cbx_3__4_.mem_top_ipin_2.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cbx_4__0_.mem_bottom_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_4__0_.mem_bottom_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_4__0_.mem_bottom_ipin_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cbx_4__0_.mem_bottom_ipin_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cbx_4__0_.mem_bottom_ipin_2.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_4__0_.mem_bottom_ipin_2.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_4__0_.mem_top_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_4__0_.mem_top_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_4__0_.mem_top_ipin_1.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_4__0_.mem_top_ipin_1.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_4__0_.mem_top_ipin_2.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_4__0_.mem_top_ipin_2.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_4__0_.mem_top_ipin_3.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_4__0_.mem_top_ipin_3.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_4__0_.mem_top_ipin_4.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_4__0_.mem_top_ipin_4.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_4__0_.mem_top_ipin_5.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_4__0_.mem_top_ipin_5.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_4__0_.mem_top_ipin_6.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_4__0_.mem_top_ipin_6.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_4__0_.mem_top_ipin_7.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_4__0_.mem_top_ipin_7.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_4__1_.mem_bottom_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_4__1_.mem_bottom_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_4__1_.mem_bottom_ipin_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cbx_4__1_.mem_bottom_ipin_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cbx_4__1_.mem_bottom_ipin_2.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_4__1_.mem_bottom_ipin_2.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_4__1_.mem_top_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_4__1_.mem_top_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_4__1_.mem_top_ipin_1.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_4__1_.mem_top_ipin_1.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_4__1_.mem_top_ipin_2.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cbx_4__1_.mem_top_ipin_2.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cbx_4__2_.mem_bottom_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_4__2_.mem_bottom_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_4__2_.mem_bottom_ipin_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cbx_4__2_.mem_bottom_ipin_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cbx_4__2_.mem_bottom_ipin_2.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_4__2_.mem_bottom_ipin_2.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_4__2_.mem_top_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_4__2_.mem_top_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_4__2_.mem_top_ipin_1.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_4__2_.mem_top_ipin_1.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_4__2_.mem_top_ipin_2.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cbx_4__2_.mem_top_ipin_2.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cbx_4__3_.mem_bottom_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_4__3_.mem_bottom_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_4__3_.mem_bottom_ipin_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cbx_4__3_.mem_bottom_ipin_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cbx_4__3_.mem_bottom_ipin_2.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_4__3_.mem_bottom_ipin_2.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_4__3_.mem_top_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_4__3_.mem_top_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_4__3_.mem_top_ipin_1.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_4__3_.mem_top_ipin_1.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_4__3_.mem_top_ipin_2.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cbx_4__3_.mem_top_ipin_2.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cbx_4__4_.mem_bottom_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_4__4_.mem_bottom_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_4__4_.mem_bottom_ipin_1.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_4__4_.mem_bottom_ipin_1.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_4__4_.mem_bottom_ipin_2.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_4__4_.mem_bottom_ipin_2.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_4__4_.mem_bottom_ipin_3.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_4__4_.mem_bottom_ipin_3.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_4__4_.mem_bottom_ipin_4.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_4__4_.mem_bottom_ipin_4.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_4__4_.mem_bottom_ipin_5.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_4__4_.mem_bottom_ipin_5.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_4__4_.mem_bottom_ipin_6.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_4__4_.mem_bottom_ipin_6.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_4__4_.mem_bottom_ipin_7.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_4__4_.mem_bottom_ipin_7.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_4__4_.mem_top_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_4__4_.mem_top_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_4__4_.mem_top_ipin_1.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cbx_4__4_.mem_top_ipin_1.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cbx_4__4_.mem_top_ipin_2.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cbx_4__4_.mem_top_ipin_2.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cby_0__1_.mem_left_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_0__1_.mem_left_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_0__1_.mem_left_ipin_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cby_0__1_.mem_left_ipin_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cby_0__1_.mem_right_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_0__1_.mem_right_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_0__1_.mem_right_ipin_1.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_0__1_.mem_right_ipin_1.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_0__1_.mem_right_ipin_2.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_0__1_.mem_right_ipin_2.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_0__1_.mem_right_ipin_3.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_0__1_.mem_right_ipin_3.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_0__1_.mem_right_ipin_4.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_0__1_.mem_right_ipin_4.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_0__1_.mem_right_ipin_5.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_0__1_.mem_right_ipin_5.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_0__1_.mem_right_ipin_6.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_0__1_.mem_right_ipin_6.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_0__1_.mem_right_ipin_7.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_0__1_.mem_right_ipin_7.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_0__2_.mem_left_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_0__2_.mem_left_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_0__2_.mem_left_ipin_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cby_0__2_.mem_left_ipin_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cby_0__2_.mem_right_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_0__2_.mem_right_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_0__2_.mem_right_ipin_1.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_0__2_.mem_right_ipin_1.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_0__2_.mem_right_ipin_2.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_0__2_.mem_right_ipin_2.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_0__2_.mem_right_ipin_3.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_0__2_.mem_right_ipin_3.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_0__2_.mem_right_ipin_4.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_0__2_.mem_right_ipin_4.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_0__2_.mem_right_ipin_5.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_0__2_.mem_right_ipin_5.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_0__2_.mem_right_ipin_6.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_0__2_.mem_right_ipin_6.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_0__2_.mem_right_ipin_7.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_0__2_.mem_right_ipin_7.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_0__3_.mem_left_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_0__3_.mem_left_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_0__3_.mem_left_ipin_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cby_0__3_.mem_left_ipin_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cby_0__3_.mem_right_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_0__3_.mem_right_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_0__3_.mem_right_ipin_1.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_0__3_.mem_right_ipin_1.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_0__3_.mem_right_ipin_2.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_0__3_.mem_right_ipin_2.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_0__3_.mem_right_ipin_3.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_0__3_.mem_right_ipin_3.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_0__3_.mem_right_ipin_4.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_0__3_.mem_right_ipin_4.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_0__3_.mem_right_ipin_5.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_0__3_.mem_right_ipin_5.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_0__3_.mem_right_ipin_6.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_0__3_.mem_right_ipin_6.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_0__3_.mem_right_ipin_7.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_0__3_.mem_right_ipin_7.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_0__4_.mem_left_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_0__4_.mem_left_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_0__4_.mem_left_ipin_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cby_0__4_.mem_left_ipin_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cby_0__4_.mem_right_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_0__4_.mem_right_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_0__4_.mem_right_ipin_1.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_0__4_.mem_right_ipin_1.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_0__4_.mem_right_ipin_2.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_0__4_.mem_right_ipin_2.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_0__4_.mem_right_ipin_3.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_0__4_.mem_right_ipin_3.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_0__4_.mem_right_ipin_4.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_0__4_.mem_right_ipin_4.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_0__4_.mem_right_ipin_5.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_0__4_.mem_right_ipin_5.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_0__4_.mem_right_ipin_6.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_0__4_.mem_right_ipin_6.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_0__4_.mem_right_ipin_7.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_0__4_.mem_right_ipin_7.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_1__1_.mem_left_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_1__1_.mem_left_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_1__1_.mem_left_ipin_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cby_1__1_.mem_left_ipin_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cby_1__1_.mem_right_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_1__1_.mem_right_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_1__1_.mem_right_ipin_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cby_1__1_.mem_right_ipin_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cby_1__1_.mem_right_ipin_2.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cby_1__1_.mem_right_ipin_2.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cby_1__2_.mem_left_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_1__2_.mem_left_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_1__2_.mem_left_ipin_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cby_1__2_.mem_left_ipin_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cby_1__2_.mem_right_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_1__2_.mem_right_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_1__2_.mem_right_ipin_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cby_1__2_.mem_right_ipin_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cby_1__2_.mem_right_ipin_2.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cby_1__2_.mem_right_ipin_2.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cby_1__3_.mem_left_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_1__3_.mem_left_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_1__3_.mem_left_ipin_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cby_1__3_.mem_left_ipin_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cby_1__3_.mem_right_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_1__3_.mem_right_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_1__3_.mem_right_ipin_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cby_1__3_.mem_right_ipin_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cby_1__3_.mem_right_ipin_2.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cby_1__3_.mem_right_ipin_2.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cby_1__4_.mem_left_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_1__4_.mem_left_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_1__4_.mem_left_ipin_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cby_1__4_.mem_left_ipin_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cby_1__4_.mem_right_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_1__4_.mem_right_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_1__4_.mem_right_ipin_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cby_1__4_.mem_right_ipin_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cby_1__4_.mem_right_ipin_2.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cby_1__4_.mem_right_ipin_2.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cby_2__1_.mem_left_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_2__1_.mem_left_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_2__1_.mem_left_ipin_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cby_2__1_.mem_left_ipin_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cby_2__1_.mem_right_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_2__1_.mem_right_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_2__1_.mem_right_ipin_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cby_2__1_.mem_right_ipin_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cby_2__1_.mem_right_ipin_2.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cby_2__1_.mem_right_ipin_2.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cby_2__2_.mem_left_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_2__2_.mem_left_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_2__2_.mem_left_ipin_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cby_2__2_.mem_left_ipin_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cby_2__2_.mem_right_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_2__2_.mem_right_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_2__2_.mem_right_ipin_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cby_2__2_.mem_right_ipin_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cby_2__2_.mem_right_ipin_2.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cby_2__2_.mem_right_ipin_2.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cby_2__3_.mem_left_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_2__3_.mem_left_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_2__3_.mem_left_ipin_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cby_2__3_.mem_left_ipin_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cby_2__3_.mem_right_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_2__3_.mem_right_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_2__3_.mem_right_ipin_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cby_2__3_.mem_right_ipin_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cby_2__3_.mem_right_ipin_2.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cby_2__3_.mem_right_ipin_2.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cby_2__4_.mem_left_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_2__4_.mem_left_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_2__4_.mem_left_ipin_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cby_2__4_.mem_left_ipin_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cby_2__4_.mem_right_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_2__4_.mem_right_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_2__4_.mem_right_ipin_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cby_2__4_.mem_right_ipin_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cby_2__4_.mem_right_ipin_2.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cby_2__4_.mem_right_ipin_2.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cby_3__1_.mem_left_ipin_0.mem_out[0:2] = 3'b001; + force U0_formal_verification.cby_3__1_.mem_left_ipin_0.mem_outb[0:2] = 3'b110; + force U0_formal_verification.cby_3__1_.mem_left_ipin_1.mem_out[0:1] = {2{1'b1}}; + force U0_formal_verification.cby_3__1_.mem_left_ipin_1.mem_outb[0:1] = {2{1'b0}}; + force U0_formal_verification.cby_3__1_.mem_right_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_3__1_.mem_right_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_3__1_.mem_right_ipin_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cby_3__1_.mem_right_ipin_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cby_3__1_.mem_right_ipin_2.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cby_3__1_.mem_right_ipin_2.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cby_3__2_.mem_left_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_3__2_.mem_left_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_3__2_.mem_left_ipin_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cby_3__2_.mem_left_ipin_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cby_3__2_.mem_right_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_3__2_.mem_right_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_3__2_.mem_right_ipin_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cby_3__2_.mem_right_ipin_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cby_3__2_.mem_right_ipin_2.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cby_3__2_.mem_right_ipin_2.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cby_3__3_.mem_left_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_3__3_.mem_left_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_3__3_.mem_left_ipin_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cby_3__3_.mem_left_ipin_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cby_3__3_.mem_right_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_3__3_.mem_right_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_3__3_.mem_right_ipin_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cby_3__3_.mem_right_ipin_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cby_3__3_.mem_right_ipin_2.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cby_3__3_.mem_right_ipin_2.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cby_3__4_.mem_left_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_3__4_.mem_left_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_3__4_.mem_left_ipin_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cby_3__4_.mem_left_ipin_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cby_3__4_.mem_right_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_3__4_.mem_right_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_3__4_.mem_right_ipin_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cby_3__4_.mem_right_ipin_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cby_3__4_.mem_right_ipin_2.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cby_3__4_.mem_right_ipin_2.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cby_4__1_.mem_left_ipin_0.mem_out[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_4__1_.mem_left_ipin_0.mem_outb[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_4__1_.mem_left_ipin_1.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_4__1_.mem_left_ipin_1.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_4__1_.mem_left_ipin_2.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_4__1_.mem_left_ipin_2.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_4__1_.mem_left_ipin_3.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_4__1_.mem_left_ipin_3.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_4__1_.mem_left_ipin_4.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_4__1_.mem_left_ipin_4.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_4__1_.mem_left_ipin_5.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_4__1_.mem_left_ipin_5.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_4__1_.mem_left_ipin_6.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_4__1_.mem_left_ipin_6.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_4__1_.mem_left_ipin_7.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_4__1_.mem_left_ipin_7.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_4__1_.mem_right_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_4__1_.mem_right_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_4__1_.mem_right_ipin_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cby_4__1_.mem_right_ipin_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cby_4__1_.mem_right_ipin_2.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cby_4__1_.mem_right_ipin_2.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cby_4__2_.mem_left_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_4__2_.mem_left_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_4__2_.mem_left_ipin_1.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_4__2_.mem_left_ipin_1.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_4__2_.mem_left_ipin_2.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_4__2_.mem_left_ipin_2.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_4__2_.mem_left_ipin_3.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_4__2_.mem_left_ipin_3.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_4__2_.mem_left_ipin_4.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_4__2_.mem_left_ipin_4.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_4__2_.mem_left_ipin_5.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_4__2_.mem_left_ipin_5.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_4__2_.mem_left_ipin_6.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_4__2_.mem_left_ipin_6.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_4__2_.mem_left_ipin_7.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_4__2_.mem_left_ipin_7.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_4__2_.mem_right_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_4__2_.mem_right_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_4__2_.mem_right_ipin_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cby_4__2_.mem_right_ipin_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cby_4__2_.mem_right_ipin_2.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cby_4__2_.mem_right_ipin_2.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cby_4__3_.mem_left_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_4__3_.mem_left_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_4__3_.mem_left_ipin_1.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_4__3_.mem_left_ipin_1.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_4__3_.mem_left_ipin_2.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_4__3_.mem_left_ipin_2.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_4__3_.mem_left_ipin_3.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_4__3_.mem_left_ipin_3.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_4__3_.mem_left_ipin_4.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_4__3_.mem_left_ipin_4.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_4__3_.mem_left_ipin_5.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_4__3_.mem_left_ipin_5.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_4__3_.mem_left_ipin_6.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_4__3_.mem_left_ipin_6.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_4__3_.mem_left_ipin_7.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_4__3_.mem_left_ipin_7.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_4__3_.mem_right_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_4__3_.mem_right_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_4__3_.mem_right_ipin_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cby_4__3_.mem_right_ipin_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cby_4__3_.mem_right_ipin_2.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cby_4__3_.mem_right_ipin_2.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cby_4__4_.mem_left_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_4__4_.mem_left_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_4__4_.mem_left_ipin_1.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_4__4_.mem_left_ipin_1.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_4__4_.mem_left_ipin_2.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_4__4_.mem_left_ipin_2.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_4__4_.mem_left_ipin_3.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_4__4_.mem_left_ipin_3.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_4__4_.mem_left_ipin_4.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_4__4_.mem_left_ipin_4.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_4__4_.mem_left_ipin_5.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_4__4_.mem_left_ipin_5.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_4__4_.mem_left_ipin_6.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_4__4_.mem_left_ipin_6.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_4__4_.mem_left_ipin_7.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_4__4_.mem_left_ipin_7.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_4__4_.mem_right_ipin_0.mem_out[0:2] = {3{1'b0}}; + force U0_formal_verification.cby_4__4_.mem_right_ipin_0.mem_outb[0:2] = {3{1'b1}}; + force U0_formal_verification.cby_4__4_.mem_right_ipin_1.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cby_4__4_.mem_right_ipin_1.mem_outb[0:1] = {2{1'b1}}; + force U0_formal_verification.cby_4__4_.mem_right_ipin_2.mem_out[0:1] = {2{1'b0}}; + force U0_formal_verification.cby_4__4_.mem_right_ipin_2.mem_outb[0:1] = {2{1'b1}}; +end +// ----- End assign bitstream to configuration memories ----- +// ----- End load bitstream to configuration memories ----- +endmodule +// ----- END Verilog module for and2_top_formal_verification ----- + +//----- Default net type ----- +`default_nettype none + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/bitstream_distribution.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/bitstream_distribution.xml new file mode 100644 index 000000000..37a693f6b --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/bitstream_distribution.xml @@ -0,0 +1,208 @@ +<!-- + - Report Bitstream Distribution +--> + +<bitstream_distribution> + <regions> + <region id="0" number_of_bits="4210"> + </region> + </regions> + <blocks> + <block name="fpga_top" number_of_bits="4210"> + <block name="grid_clb_1__1_" number_of_bits="136"> + </block> + <block name="grid_clb_1__2_" number_of_bits="136"> + </block> + <block name="grid_clb_1__3_" number_of_bits="136"> + </block> + <block name="grid_clb_1__4_" number_of_bits="136"> + </block> + <block name="grid_clb_2__1_" number_of_bits="136"> + </block> + <block name="grid_clb_2__2_" number_of_bits="136"> + </block> + <block name="grid_clb_2__3_" number_of_bits="136"> + </block> + <block name="grid_clb_2__4_" number_of_bits="136"> + </block> + <block name="grid_clb_3__1_" number_of_bits="136"> + </block> + <block name="grid_clb_3__2_" number_of_bits="136"> + </block> + <block name="grid_clb_3__3_" number_of_bits="136"> + </block> + <block name="grid_clb_3__4_" number_of_bits="136"> + </block> + <block name="grid_clb_4__1_" number_of_bits="136"> + </block> + <block name="grid_clb_4__2_" number_of_bits="136"> + </block> + <block name="grid_clb_4__3_" number_of_bits="136"> + </block> + <block name="grid_clb_4__4_" number_of_bits="136"> + </block> + <block name="grid_io_top_1__5_" number_of_bits="8"> + </block> + <block name="grid_io_top_2__5_" number_of_bits="8"> + </block> + <block name="grid_io_top_3__5_" number_of_bits="8"> + </block> + <block name="grid_io_top_4__5_" number_of_bits="8"> + </block> + <block name="grid_io_right_5__4_" number_of_bits="8"> + </block> + <block name="grid_io_right_5__3_" number_of_bits="8"> + </block> + <block name="grid_io_right_5__2_" number_of_bits="8"> + </block> + <block name="grid_io_right_5__1_" number_of_bits="8"> + </block> + <block name="grid_io_bottom_4__0_" number_of_bits="8"> + </block> + <block name="grid_io_bottom_3__0_" number_of_bits="8"> + </block> + <block name="grid_io_bottom_2__0_" number_of_bits="8"> + </block> + <block name="grid_io_bottom_1__0_" number_of_bits="8"> + </block> + <block name="grid_io_left_0__1_" number_of_bits="8"> + </block> + <block name="grid_io_left_0__2_" number_of_bits="8"> + </block> + <block name="grid_io_left_0__3_" number_of_bits="8"> + </block> + <block name="grid_io_left_0__4_" number_of_bits="8"> + </block> + <block name="sb_0__0_" number_of_bits="36"> + </block> + <block name="sb_0__1_" number_of_bits="40"> + </block> + <block name="sb_0__2_" number_of_bits="40"> + </block> + <block name="sb_0__3_" number_of_bits="40"> + </block> + <block name="sb_0__4_" number_of_bits="36"> + </block> + <block name="sb_1__0_" number_of_bits="38"> + </block> + <block name="sb_1__1_" number_of_bits="48"> + </block> + <block name="sb_1__2_" number_of_bits="48"> + </block> + <block name="sb_1__3_" number_of_bits="48"> + </block> + <block name="sb_1__4_" number_of_bits="44"> + </block> + <block name="sb_2__0_" number_of_bits="38"> + </block> + <block name="sb_2__1_" number_of_bits="48"> + </block> + <block name="sb_2__2_" number_of_bits="48"> + </block> + <block name="sb_2__3_" number_of_bits="48"> + </block> + <block name="sb_2__4_" number_of_bits="44"> + </block> + <block name="sb_3__0_" number_of_bits="38"> + </block> + <block name="sb_3__1_" number_of_bits="48"> + </block> + <block name="sb_3__2_" number_of_bits="48"> + </block> + <block name="sb_3__3_" number_of_bits="48"> + </block> + <block name="sb_3__4_" number_of_bits="44"> + </block> + <block name="sb_4__0_" number_of_bits="36"> + </block> + <block name="sb_4__1_" number_of_bits="44"> + </block> + <block name="sb_4__2_" number_of_bits="44"> + </block> + <block name="sb_4__3_" number_of_bits="44"> + </block> + <block name="sb_4__4_" number_of_bits="36"> + </block> + <block name="cbx_1__0_" number_of_bits="32"> + </block> + <block name="cbx_1__1_" number_of_bits="16"> + </block> + <block name="cbx_1__2_" number_of_bits="16"> + </block> + <block name="cbx_1__3_" number_of_bits="16"> + </block> + <block name="cbx_1__4_" number_of_bits="32"> + </block> + <block name="cbx_2__0_" number_of_bits="32"> + </block> + <block name="cbx_2__1_" number_of_bits="16"> + </block> + <block name="cbx_2__2_" number_of_bits="16"> + </block> + <block name="cbx_2__3_" number_of_bits="16"> + </block> + <block name="cbx_2__4_" number_of_bits="32"> + </block> + <block name="cbx_3__0_" number_of_bits="32"> + </block> + <block name="cbx_3__1_" number_of_bits="16"> + </block> + <block name="cbx_3__2_" number_of_bits="16"> + </block> + <block name="cbx_3__3_" number_of_bits="16"> + </block> + <block name="cbx_3__4_" number_of_bits="32"> + </block> + <block name="cbx_4__0_" number_of_bits="32"> + </block> + <block name="cbx_4__1_" number_of_bits="16"> + </block> + <block name="cbx_4__2_" number_of_bits="16"> + </block> + <block name="cbx_4__3_" number_of_bits="16"> + </block> + <block name="cbx_4__4_" number_of_bits="32"> + </block> + <block name="cby_0__1_" number_of_bits="29"> + </block> + <block name="cby_0__2_" number_of_bits="29"> + </block> + <block name="cby_0__3_" number_of_bits="29"> + </block> + <block name="cby_0__4_" number_of_bits="29"> + </block> + <block name="cby_1__1_" number_of_bits="12"> + </block> + <block name="cby_1__2_" number_of_bits="12"> + </block> + <block name="cby_1__3_" number_of_bits="12"> + </block> + <block name="cby_1__4_" number_of_bits="12"> + </block> + <block name="cby_2__1_" number_of_bits="12"> + </block> + <block name="cby_2__2_" number_of_bits="12"> + </block> + <block name="cby_2__3_" number_of_bits="12"> + </block> + <block name="cby_2__4_" number_of_bits="12"> + </block> + <block name="cby_3__1_" number_of_bits="12"> + </block> + <block name="cby_3__2_" number_of_bits="12"> + </block> + <block name="cby_3__3_" number_of_bits="12"> + </block> + <block name="cby_3__4_" number_of_bits="12"> + </block> + <block name="cby_4__1_" number_of_bits="31"> + </block> + <block name="cby_4__2_" number_of_bits="31"> + </block> + <block name="cby_4__3_" number_of_bits="31"> + </block> + <block name="cby_4__4_" number_of_bits="31"> + </block> + </block> + </blocks> +</bitstream_distribution> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/cbx_1__0_.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/cbx_1__0_.sdc new file mode 100644 index 000000000..975e18966 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/cbx_1__0_.sdc @@ -0,0 +1,75 @@ +############################################# +# Synopsys Design Constraints (SDC) +# For FPGA fabric +# Description: Constrain timing of Connection Block cbx_1__0_ for PnR +# Author: Xifan TANG +# Organization: University of Utah +############################################# + +############################################# +# Define time unit +############################################# +set_units -time s + +set_max_delay -from fpga_top/cbx_1__0_/chanx_left_in[0] -to fpga_top/cbx_1__0_/chanx_left_out[0] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__0_/chanx_right_in[0] -to fpga_top/cbx_1__0_/chanx_right_out[0] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__0_/chanx_left_in[1] -to fpga_top/cbx_1__0_/chanx_left_out[1] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__0_/chanx_right_in[1] -to fpga_top/cbx_1__0_/chanx_right_out[1] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__0_/chanx_left_in[2] -to fpga_top/cbx_1__0_/chanx_left_out[2] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__0_/chanx_right_in[2] -to fpga_top/cbx_1__0_/chanx_right_out[2] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__0_/chanx_left_in[3] -to fpga_top/cbx_1__0_/chanx_left_out[3] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__0_/chanx_right_in[3] -to fpga_top/cbx_1__0_/chanx_right_out[3] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__0_/chanx_left_in[4] -to fpga_top/cbx_1__0_/chanx_left_out[4] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__0_/chanx_right_in[4] -to fpga_top/cbx_1__0_/chanx_right_out[4] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__0_/chanx_left_in[5] -to fpga_top/cbx_1__0_/chanx_left_out[5] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__0_/chanx_right_in[5] -to fpga_top/cbx_1__0_/chanx_right_out[5] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__0_/chanx_left_in[6] -to fpga_top/cbx_1__0_/chanx_left_out[6] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__0_/chanx_right_in[6] -to fpga_top/cbx_1__0_/chanx_right_out[6] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__0_/chanx_left_in[7] -to fpga_top/cbx_1__0_/chanx_left_out[7] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__0_/chanx_right_in[7] -to fpga_top/cbx_1__0_/chanx_right_out[7] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__0_/chanx_left_in[8] -to fpga_top/cbx_1__0_/chanx_left_out[8] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__0_/chanx_right_in[8] -to fpga_top/cbx_1__0_/chanx_right_out[8] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__0_/chanx_left_in[9] -to fpga_top/cbx_1__0_/chanx_left_out[9] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__0_/chanx_right_in[9] -to fpga_top/cbx_1__0_/chanx_right_out[9] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__0_/chanx_left_in[0] -to fpga_top/cbx_1__0_/top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__0_/chanx_right_in[0] -to fpga_top/cbx_1__0_/top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__0_/chanx_left_in[5] -to fpga_top/cbx_1__0_/top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__0_/chanx_right_in[5] -to fpga_top/cbx_1__0_/top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__0_/chanx_left_in[1] -to fpga_top/cbx_1__0_/top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__0_/chanx_right_in[1] -to fpga_top/cbx_1__0_/top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__0_/chanx_left_in[2] -to fpga_top/cbx_1__0_/top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__0_/chanx_right_in[2] -to fpga_top/cbx_1__0_/top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__0_/chanx_left_in[7] -to fpga_top/cbx_1__0_/top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__0_/chanx_right_in[7] -to fpga_top/cbx_1__0_/top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__0_/chanx_left_in[3] -to fpga_top/cbx_1__0_/bottom_grid_top_width_0_height_0_subtile_0__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__0_/chanx_right_in[3] -to fpga_top/cbx_1__0_/bottom_grid_top_width_0_height_0_subtile_0__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__0_/chanx_left_in[8] -to fpga_top/cbx_1__0_/bottom_grid_top_width_0_height_0_subtile_0__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__0_/chanx_right_in[8] -to fpga_top/cbx_1__0_/bottom_grid_top_width_0_height_0_subtile_0__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__0_/chanx_left_in[4] -to fpga_top/cbx_1__0_/bottom_grid_top_width_0_height_0_subtile_1__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__0_/chanx_right_in[4] -to fpga_top/cbx_1__0_/bottom_grid_top_width_0_height_0_subtile_1__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__0_/chanx_left_in[9] -to fpga_top/cbx_1__0_/bottom_grid_top_width_0_height_0_subtile_1__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__0_/chanx_right_in[9] -to fpga_top/cbx_1__0_/bottom_grid_top_width_0_height_0_subtile_1__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__0_/chanx_left_in[0] -to fpga_top/cbx_1__0_/bottom_grid_top_width_0_height_0_subtile_2__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__0_/chanx_right_in[0] -to fpga_top/cbx_1__0_/bottom_grid_top_width_0_height_0_subtile_2__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__0_/chanx_left_in[5] -to fpga_top/cbx_1__0_/bottom_grid_top_width_0_height_0_subtile_2__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__0_/chanx_right_in[5] -to fpga_top/cbx_1__0_/bottom_grid_top_width_0_height_0_subtile_2__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__0_/chanx_left_in[1] -to fpga_top/cbx_1__0_/bottom_grid_top_width_0_height_0_subtile_3__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__0_/chanx_right_in[1] -to fpga_top/cbx_1__0_/bottom_grid_top_width_0_height_0_subtile_3__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__0_/chanx_left_in[6] -to fpga_top/cbx_1__0_/bottom_grid_top_width_0_height_0_subtile_3__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__0_/chanx_right_in[6] -to fpga_top/cbx_1__0_/bottom_grid_top_width_0_height_0_subtile_3__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__0_/chanx_left_in[2] -to fpga_top/cbx_1__0_/bottom_grid_top_width_0_height_0_subtile_4__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__0_/chanx_right_in[2] -to fpga_top/cbx_1__0_/bottom_grid_top_width_0_height_0_subtile_4__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__0_/chanx_left_in[7] -to fpga_top/cbx_1__0_/bottom_grid_top_width_0_height_0_subtile_4__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__0_/chanx_right_in[7] -to fpga_top/cbx_1__0_/bottom_grid_top_width_0_height_0_subtile_4__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__0_/chanx_left_in[3] -to fpga_top/cbx_1__0_/bottom_grid_top_width_0_height_0_subtile_5__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__0_/chanx_right_in[3] -to fpga_top/cbx_1__0_/bottom_grid_top_width_0_height_0_subtile_5__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__0_/chanx_left_in[8] -to fpga_top/cbx_1__0_/bottom_grid_top_width_0_height_0_subtile_5__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__0_/chanx_right_in[8] -to fpga_top/cbx_1__0_/bottom_grid_top_width_0_height_0_subtile_5__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__0_/chanx_left_in[4] -to fpga_top/cbx_1__0_/bottom_grid_top_width_0_height_0_subtile_6__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__0_/chanx_right_in[4] -to fpga_top/cbx_1__0_/bottom_grid_top_width_0_height_0_subtile_6__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__0_/chanx_left_in[9] -to fpga_top/cbx_1__0_/bottom_grid_top_width_0_height_0_subtile_6__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__0_/chanx_right_in[9] -to fpga_top/cbx_1__0_/bottom_grid_top_width_0_height_0_subtile_6__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__0_/chanx_left_in[0] -to fpga_top/cbx_1__0_/bottom_grid_top_width_0_height_0_subtile_7__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__0_/chanx_right_in[0] -to fpga_top/cbx_1__0_/bottom_grid_top_width_0_height_0_subtile_7__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__0_/chanx_left_in[5] -to fpga_top/cbx_1__0_/bottom_grid_top_width_0_height_0_subtile_7__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__0_/chanx_right_in[5] -to fpga_top/cbx_1__0_/bottom_grid_top_width_0_height_0_subtile_7__pin_outpad_0_[0] 7.247000222e-11 diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/cbx_1__1_.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/cbx_1__1_.sdc new file mode 100644 index 000000000..60dce8223 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/cbx_1__1_.sdc @@ -0,0 +1,53 @@ +############################################# +# Synopsys Design Constraints (SDC) +# For FPGA fabric +# Description: Constrain timing of Connection Block cbx_1__1_ for PnR +# Author: Xifan TANG +# Organization: University of Utah +############################################# + +############################################# +# Define time unit +############################################# +set_units -time s + +set_max_delay -from fpga_top/cbx_1__1_/chanx_left_in[0] -to fpga_top/cbx_1__1_/chanx_left_out[0] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__1_/chanx_right_in[0] -to fpga_top/cbx_1__1_/chanx_right_out[0] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__1_/chanx_left_in[1] -to fpga_top/cbx_1__1_/chanx_left_out[1] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__1_/chanx_right_in[1] -to fpga_top/cbx_1__1_/chanx_right_out[1] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__1_/chanx_left_in[2] -to fpga_top/cbx_1__1_/chanx_left_out[2] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__1_/chanx_right_in[2] -to fpga_top/cbx_1__1_/chanx_right_out[2] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__1_/chanx_left_in[3] -to fpga_top/cbx_1__1_/chanx_left_out[3] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__1_/chanx_right_in[3] -to fpga_top/cbx_1__1_/chanx_right_out[3] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__1_/chanx_left_in[4] -to fpga_top/cbx_1__1_/chanx_left_out[4] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__1_/chanx_right_in[4] -to fpga_top/cbx_1__1_/chanx_right_out[4] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__1_/chanx_left_in[5] -to fpga_top/cbx_1__1_/chanx_left_out[5] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__1_/chanx_right_in[5] -to fpga_top/cbx_1__1_/chanx_right_out[5] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__1_/chanx_left_in[6] -to fpga_top/cbx_1__1_/chanx_left_out[6] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__1_/chanx_right_in[6] -to fpga_top/cbx_1__1_/chanx_right_out[6] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__1_/chanx_left_in[7] -to fpga_top/cbx_1__1_/chanx_left_out[7] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__1_/chanx_right_in[7] -to fpga_top/cbx_1__1_/chanx_right_out[7] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__1_/chanx_left_in[8] -to fpga_top/cbx_1__1_/chanx_left_out[8] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__1_/chanx_right_in[8] -to fpga_top/cbx_1__1_/chanx_right_out[8] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__1_/chanx_left_in[9] -to fpga_top/cbx_1__1_/chanx_left_out[9] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__1_/chanx_right_in[9] -to fpga_top/cbx_1__1_/chanx_right_out[9] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__1_/chanx_left_in[0] -to fpga_top/cbx_1__1_/top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__1_/chanx_right_in[0] -to fpga_top/cbx_1__1_/top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__1_/chanx_left_in[5] -to fpga_top/cbx_1__1_/top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__1_/chanx_right_in[5] -to fpga_top/cbx_1__1_/top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__1_/chanx_left_in[1] -to fpga_top/cbx_1__1_/top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__1_/chanx_right_in[1] -to fpga_top/cbx_1__1_/top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__1_/chanx_left_in[2] -to fpga_top/cbx_1__1_/top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__1_/chanx_right_in[2] -to fpga_top/cbx_1__1_/top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__1_/chanx_left_in[7] -to fpga_top/cbx_1__1_/top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__1_/chanx_right_in[7] -to fpga_top/cbx_1__1_/top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__1_/chanx_left_in[3] -to fpga_top/cbx_1__1_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__1_/chanx_right_in[3] -to fpga_top/cbx_1__1_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__1_/chanx_left_in[8] -to fpga_top/cbx_1__1_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__1_/chanx_right_in[8] -to fpga_top/cbx_1__1_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__1_/chanx_left_in[4] -to fpga_top/cbx_1__1_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__1_/chanx_right_in[4] -to fpga_top/cbx_1__1_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__1_/chanx_left_in[9] -to fpga_top/cbx_1__1_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__1_/chanx_right_in[9] -to fpga_top/cbx_1__1_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__1_/chanx_left_in[5] -to fpga_top/cbx_1__1_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__1_/chanx_right_in[5] -to fpga_top/cbx_1__1_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_[0] 7.247000222e-11 diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/cbx_1__4_.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/cbx_1__4_.sdc new file mode 100644 index 000000000..2bc79003c --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/cbx_1__4_.sdc @@ -0,0 +1,75 @@ +############################################# +# Synopsys Design Constraints (SDC) +# For FPGA fabric +# Description: Constrain timing of Connection Block cbx_1__4_ for PnR +# Author: Xifan TANG +# Organization: University of Utah +############################################# + +############################################# +# Define time unit +############################################# +set_units -time s + +set_max_delay -from fpga_top/cbx_1__4_/chanx_left_in[0] -to fpga_top/cbx_1__4_/chanx_left_out[0] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__4_/chanx_right_in[0] -to fpga_top/cbx_1__4_/chanx_right_out[0] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__4_/chanx_left_in[1] -to fpga_top/cbx_1__4_/chanx_left_out[1] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__4_/chanx_right_in[1] -to fpga_top/cbx_1__4_/chanx_right_out[1] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__4_/chanx_left_in[2] -to fpga_top/cbx_1__4_/chanx_left_out[2] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__4_/chanx_right_in[2] -to fpga_top/cbx_1__4_/chanx_right_out[2] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__4_/chanx_left_in[3] -to fpga_top/cbx_1__4_/chanx_left_out[3] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__4_/chanx_right_in[3] -to fpga_top/cbx_1__4_/chanx_right_out[3] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__4_/chanx_left_in[4] -to fpga_top/cbx_1__4_/chanx_left_out[4] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__4_/chanx_right_in[4] -to fpga_top/cbx_1__4_/chanx_right_out[4] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__4_/chanx_left_in[5] -to fpga_top/cbx_1__4_/chanx_left_out[5] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__4_/chanx_right_in[5] -to fpga_top/cbx_1__4_/chanx_right_out[5] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__4_/chanx_left_in[6] -to fpga_top/cbx_1__4_/chanx_left_out[6] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__4_/chanx_right_in[6] -to fpga_top/cbx_1__4_/chanx_right_out[6] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__4_/chanx_left_in[7] -to fpga_top/cbx_1__4_/chanx_left_out[7] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__4_/chanx_right_in[7] -to fpga_top/cbx_1__4_/chanx_right_out[7] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__4_/chanx_left_in[8] -to fpga_top/cbx_1__4_/chanx_left_out[8] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__4_/chanx_right_in[8] -to fpga_top/cbx_1__4_/chanx_right_out[8] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__4_/chanx_left_in[9] -to fpga_top/cbx_1__4_/chanx_left_out[9] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__4_/chanx_right_in[9] -to fpga_top/cbx_1__4_/chanx_right_out[9] 2.272500113e-12 +set_max_delay -from fpga_top/cbx_1__4_/chanx_left_in[0] -to fpga_top/cbx_1__4_/top_grid_bottom_width_0_height_0_subtile_0__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__4_/chanx_right_in[0] -to fpga_top/cbx_1__4_/top_grid_bottom_width_0_height_0_subtile_0__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__4_/chanx_left_in[5] -to fpga_top/cbx_1__4_/top_grid_bottom_width_0_height_0_subtile_0__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__4_/chanx_right_in[5] -to fpga_top/cbx_1__4_/top_grid_bottom_width_0_height_0_subtile_0__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__4_/chanx_left_in[1] -to fpga_top/cbx_1__4_/top_grid_bottom_width_0_height_0_subtile_1__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__4_/chanx_right_in[1] -to fpga_top/cbx_1__4_/top_grid_bottom_width_0_height_0_subtile_1__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__4_/chanx_left_in[6] -to fpga_top/cbx_1__4_/top_grid_bottom_width_0_height_0_subtile_1__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__4_/chanx_right_in[6] -to fpga_top/cbx_1__4_/top_grid_bottom_width_0_height_0_subtile_1__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__4_/chanx_left_in[2] -to fpga_top/cbx_1__4_/top_grid_bottom_width_0_height_0_subtile_2__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__4_/chanx_right_in[2] -to fpga_top/cbx_1__4_/top_grid_bottom_width_0_height_0_subtile_2__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__4_/chanx_left_in[7] -to fpga_top/cbx_1__4_/top_grid_bottom_width_0_height_0_subtile_2__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__4_/chanx_right_in[7] -to fpga_top/cbx_1__4_/top_grid_bottom_width_0_height_0_subtile_2__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__4_/chanx_left_in[3] -to fpga_top/cbx_1__4_/top_grid_bottom_width_0_height_0_subtile_3__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__4_/chanx_right_in[3] -to fpga_top/cbx_1__4_/top_grid_bottom_width_0_height_0_subtile_3__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__4_/chanx_left_in[8] -to fpga_top/cbx_1__4_/top_grid_bottom_width_0_height_0_subtile_3__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__4_/chanx_right_in[8] -to fpga_top/cbx_1__4_/top_grid_bottom_width_0_height_0_subtile_3__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__4_/chanx_left_in[4] -to fpga_top/cbx_1__4_/top_grid_bottom_width_0_height_0_subtile_4__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__4_/chanx_right_in[4] -to fpga_top/cbx_1__4_/top_grid_bottom_width_0_height_0_subtile_4__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__4_/chanx_left_in[9] -to fpga_top/cbx_1__4_/top_grid_bottom_width_0_height_0_subtile_4__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__4_/chanx_right_in[9] -to fpga_top/cbx_1__4_/top_grid_bottom_width_0_height_0_subtile_4__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__4_/chanx_left_in[0] -to fpga_top/cbx_1__4_/top_grid_bottom_width_0_height_0_subtile_5__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__4_/chanx_right_in[0] -to fpga_top/cbx_1__4_/top_grid_bottom_width_0_height_0_subtile_5__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__4_/chanx_left_in[5] -to fpga_top/cbx_1__4_/top_grid_bottom_width_0_height_0_subtile_5__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__4_/chanx_right_in[5] -to fpga_top/cbx_1__4_/top_grid_bottom_width_0_height_0_subtile_5__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__4_/chanx_left_in[1] -to fpga_top/cbx_1__4_/top_grid_bottom_width_0_height_0_subtile_6__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__4_/chanx_right_in[1] -to fpga_top/cbx_1__4_/top_grid_bottom_width_0_height_0_subtile_6__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__4_/chanx_left_in[6] -to fpga_top/cbx_1__4_/top_grid_bottom_width_0_height_0_subtile_6__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__4_/chanx_right_in[6] -to fpga_top/cbx_1__4_/top_grid_bottom_width_0_height_0_subtile_6__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__4_/chanx_left_in[2] -to fpga_top/cbx_1__4_/top_grid_bottom_width_0_height_0_subtile_7__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__4_/chanx_right_in[2] -to fpga_top/cbx_1__4_/top_grid_bottom_width_0_height_0_subtile_7__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__4_/chanx_left_in[7] -to fpga_top/cbx_1__4_/top_grid_bottom_width_0_height_0_subtile_7__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__4_/chanx_right_in[7] -to fpga_top/cbx_1__4_/top_grid_bottom_width_0_height_0_subtile_7__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__4_/chanx_left_in[3] -to fpga_top/cbx_1__4_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__4_/chanx_right_in[3] -to fpga_top/cbx_1__4_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__4_/chanx_left_in[8] -to fpga_top/cbx_1__4_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__4_/chanx_right_in[8] -to fpga_top/cbx_1__4_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__4_/chanx_left_in[4] -to fpga_top/cbx_1__4_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__4_/chanx_right_in[4] -to fpga_top/cbx_1__4_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__4_/chanx_left_in[9] -to fpga_top/cbx_1__4_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__4_/chanx_right_in[9] -to fpga_top/cbx_1__4_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__4_/chanx_left_in[0] -to fpga_top/cbx_1__4_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cbx_1__4_/chanx_right_in[0] -to fpga_top/cbx_1__4_/bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_[0] 7.247000222e-11 diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/cby_0__1_.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/cby_0__1_.sdc new file mode 100644 index 000000000..fc3acad24 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/cby_0__1_.sdc @@ -0,0 +1,71 @@ +############################################# +# Synopsys Design Constraints (SDC) +# For FPGA fabric +# Description: Constrain timing of Connection Block cby_0__1_ for PnR +# Author: Xifan TANG +# Organization: University of Utah +############################################# + +############################################# +# Define time unit +############################################# +set_units -time s + +set_max_delay -from fpga_top/cby_0__1_/chany_bottom_in[0] -to fpga_top/cby_0__1_/chany_bottom_out[0] 2.272500113e-12 +set_max_delay -from fpga_top/cby_0__1_/chany_top_in[0] -to fpga_top/cby_0__1_/chany_top_out[0] 2.272500113e-12 +set_max_delay -from fpga_top/cby_0__1_/chany_bottom_in[1] -to fpga_top/cby_0__1_/chany_bottom_out[1] 2.272500113e-12 +set_max_delay -from fpga_top/cby_0__1_/chany_top_in[1] -to fpga_top/cby_0__1_/chany_top_out[1] 2.272500113e-12 +set_max_delay -from fpga_top/cby_0__1_/chany_bottom_in[2] -to fpga_top/cby_0__1_/chany_bottom_out[2] 2.272500113e-12 +set_max_delay -from fpga_top/cby_0__1_/chany_top_in[2] -to fpga_top/cby_0__1_/chany_top_out[2] 2.272500113e-12 +set_max_delay -from fpga_top/cby_0__1_/chany_bottom_in[3] -to fpga_top/cby_0__1_/chany_bottom_out[3] 2.272500113e-12 +set_max_delay -from fpga_top/cby_0__1_/chany_top_in[3] -to fpga_top/cby_0__1_/chany_top_out[3] 2.272500113e-12 +set_max_delay -from fpga_top/cby_0__1_/chany_bottom_in[4] -to fpga_top/cby_0__1_/chany_bottom_out[4] 2.272500113e-12 +set_max_delay -from fpga_top/cby_0__1_/chany_top_in[4] -to fpga_top/cby_0__1_/chany_top_out[4] 2.272500113e-12 +set_max_delay -from fpga_top/cby_0__1_/chany_bottom_in[5] -to fpga_top/cby_0__1_/chany_bottom_out[5] 2.272500113e-12 +set_max_delay -from fpga_top/cby_0__1_/chany_top_in[5] -to fpga_top/cby_0__1_/chany_top_out[5] 2.272500113e-12 +set_max_delay -from fpga_top/cby_0__1_/chany_bottom_in[6] -to fpga_top/cby_0__1_/chany_bottom_out[6] 2.272500113e-12 +set_max_delay -from fpga_top/cby_0__1_/chany_top_in[6] -to fpga_top/cby_0__1_/chany_top_out[6] 2.272500113e-12 +set_max_delay -from fpga_top/cby_0__1_/chany_bottom_in[7] -to fpga_top/cby_0__1_/chany_bottom_out[7] 2.272500113e-12 +set_max_delay -from fpga_top/cby_0__1_/chany_top_in[7] -to fpga_top/cby_0__1_/chany_top_out[7] 2.272500113e-12 +set_max_delay -from fpga_top/cby_0__1_/chany_bottom_in[8] -to fpga_top/cby_0__1_/chany_bottom_out[8] 2.272500113e-12 +set_max_delay -from fpga_top/cby_0__1_/chany_top_in[8] -to fpga_top/cby_0__1_/chany_top_out[8] 2.272500113e-12 +set_max_delay -from fpga_top/cby_0__1_/chany_bottom_in[9] -to fpga_top/cby_0__1_/chany_bottom_out[9] 2.272500113e-12 +set_max_delay -from fpga_top/cby_0__1_/chany_top_in[9] -to fpga_top/cby_0__1_/chany_top_out[9] 2.272500113e-12 +set_max_delay -from fpga_top/cby_0__1_/chany_bottom_in[0] -to fpga_top/cby_0__1_/right_grid_left_width_0_height_0_subtile_0__pin_I_3_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_0__1_/chany_top_in[0] -to fpga_top/cby_0__1_/right_grid_left_width_0_height_0_subtile_0__pin_I_3_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_0__1_/chany_bottom_in[5] -to fpga_top/cby_0__1_/right_grid_left_width_0_height_0_subtile_0__pin_I_3_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_0__1_/chany_top_in[5] -to fpga_top/cby_0__1_/right_grid_left_width_0_height_0_subtile_0__pin_I_3_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_0__1_/chany_bottom_in[1] -to fpga_top/cby_0__1_/right_grid_left_width_0_height_0_subtile_0__pin_I_7_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_0__1_/chany_top_in[1] -to fpga_top/cby_0__1_/right_grid_left_width_0_height_0_subtile_0__pin_I_7_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_0__1_/chany_bottom_in[2] -to fpga_top/cby_0__1_/left_grid_right_width_0_height_0_subtile_0__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_0__1_/chany_top_in[2] -to fpga_top/cby_0__1_/left_grid_right_width_0_height_0_subtile_0__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_0__1_/chany_bottom_in[7] -to fpga_top/cby_0__1_/left_grid_right_width_0_height_0_subtile_0__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_0__1_/chany_top_in[7] -to fpga_top/cby_0__1_/left_grid_right_width_0_height_0_subtile_0__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_0__1_/chany_bottom_in[3] -to fpga_top/cby_0__1_/left_grid_right_width_0_height_0_subtile_1__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_0__1_/chany_top_in[3] -to fpga_top/cby_0__1_/left_grid_right_width_0_height_0_subtile_1__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_0__1_/chany_bottom_in[8] -to fpga_top/cby_0__1_/left_grid_right_width_0_height_0_subtile_1__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_0__1_/chany_top_in[8] -to fpga_top/cby_0__1_/left_grid_right_width_0_height_0_subtile_1__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_0__1_/chany_bottom_in[4] -to fpga_top/cby_0__1_/left_grid_right_width_0_height_0_subtile_2__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_0__1_/chany_top_in[4] -to fpga_top/cby_0__1_/left_grid_right_width_0_height_0_subtile_2__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_0__1_/chany_bottom_in[9] -to fpga_top/cby_0__1_/left_grid_right_width_0_height_0_subtile_2__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_0__1_/chany_top_in[9] -to fpga_top/cby_0__1_/left_grid_right_width_0_height_0_subtile_2__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_0__1_/chany_bottom_in[0] -to fpga_top/cby_0__1_/left_grid_right_width_0_height_0_subtile_3__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_0__1_/chany_top_in[0] -to fpga_top/cby_0__1_/left_grid_right_width_0_height_0_subtile_3__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_0__1_/chany_bottom_in[5] -to fpga_top/cby_0__1_/left_grid_right_width_0_height_0_subtile_3__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_0__1_/chany_top_in[5] -to fpga_top/cby_0__1_/left_grid_right_width_0_height_0_subtile_3__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_0__1_/chany_bottom_in[1] -to fpga_top/cby_0__1_/left_grid_right_width_0_height_0_subtile_4__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_0__1_/chany_top_in[1] -to fpga_top/cby_0__1_/left_grid_right_width_0_height_0_subtile_4__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_0__1_/chany_bottom_in[6] -to fpga_top/cby_0__1_/left_grid_right_width_0_height_0_subtile_4__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_0__1_/chany_top_in[6] -to fpga_top/cby_0__1_/left_grid_right_width_0_height_0_subtile_4__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_0__1_/chany_bottom_in[2] -to fpga_top/cby_0__1_/left_grid_right_width_0_height_0_subtile_5__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_0__1_/chany_top_in[2] -to fpga_top/cby_0__1_/left_grid_right_width_0_height_0_subtile_5__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_0__1_/chany_bottom_in[7] -to fpga_top/cby_0__1_/left_grid_right_width_0_height_0_subtile_5__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_0__1_/chany_top_in[7] -to fpga_top/cby_0__1_/left_grid_right_width_0_height_0_subtile_5__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_0__1_/chany_bottom_in[3] -to fpga_top/cby_0__1_/left_grid_right_width_0_height_0_subtile_6__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_0__1_/chany_top_in[3] -to fpga_top/cby_0__1_/left_grid_right_width_0_height_0_subtile_6__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_0__1_/chany_bottom_in[8] -to fpga_top/cby_0__1_/left_grid_right_width_0_height_0_subtile_6__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_0__1_/chany_top_in[8] -to fpga_top/cby_0__1_/left_grid_right_width_0_height_0_subtile_6__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_0__1_/chany_bottom_in[4] -to fpga_top/cby_0__1_/left_grid_right_width_0_height_0_subtile_7__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_0__1_/chany_top_in[4] -to fpga_top/cby_0__1_/left_grid_right_width_0_height_0_subtile_7__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_0__1_/chany_bottom_in[9] -to fpga_top/cby_0__1_/left_grid_right_width_0_height_0_subtile_7__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_0__1_/chany_top_in[9] -to fpga_top/cby_0__1_/left_grid_right_width_0_height_0_subtile_7__pin_outpad_0_[0] 7.247000222e-11 diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/cby_1__1_.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/cby_1__1_.sdc new file mode 100644 index 000000000..0b991122d --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/cby_1__1_.sdc @@ -0,0 +1,47 @@ +############################################# +# Synopsys Design Constraints (SDC) +# For FPGA fabric +# Description: Constrain timing of Connection Block cby_1__1_ for PnR +# Author: Xifan TANG +# Organization: University of Utah +############################################# + +############################################# +# Define time unit +############################################# +set_units -time s + +set_max_delay -from fpga_top/cby_1__1_/chany_bottom_in[0] -to fpga_top/cby_1__1_/chany_bottom_out[0] 2.272500113e-12 +set_max_delay -from fpga_top/cby_1__1_/chany_top_in[0] -to fpga_top/cby_1__1_/chany_top_out[0] 2.272500113e-12 +set_max_delay -from fpga_top/cby_1__1_/chany_bottom_in[1] -to fpga_top/cby_1__1_/chany_bottom_out[1] 2.272500113e-12 +set_max_delay -from fpga_top/cby_1__1_/chany_top_in[1] -to fpga_top/cby_1__1_/chany_top_out[1] 2.272500113e-12 +set_max_delay -from fpga_top/cby_1__1_/chany_bottom_in[2] -to fpga_top/cby_1__1_/chany_bottom_out[2] 2.272500113e-12 +set_max_delay -from fpga_top/cby_1__1_/chany_top_in[2] -to fpga_top/cby_1__1_/chany_top_out[2] 2.272500113e-12 +set_max_delay -from fpga_top/cby_1__1_/chany_bottom_in[3] -to fpga_top/cby_1__1_/chany_bottom_out[3] 2.272500113e-12 +set_max_delay -from fpga_top/cby_1__1_/chany_top_in[3] -to fpga_top/cby_1__1_/chany_top_out[3] 2.272500113e-12 +set_max_delay -from fpga_top/cby_1__1_/chany_bottom_in[4] -to fpga_top/cby_1__1_/chany_bottom_out[4] 2.272500113e-12 +set_max_delay -from fpga_top/cby_1__1_/chany_top_in[4] -to fpga_top/cby_1__1_/chany_top_out[4] 2.272500113e-12 +set_max_delay -from fpga_top/cby_1__1_/chany_bottom_in[5] -to fpga_top/cby_1__1_/chany_bottom_out[5] 2.272500113e-12 +set_max_delay -from fpga_top/cby_1__1_/chany_top_in[5] -to fpga_top/cby_1__1_/chany_top_out[5] 2.272500113e-12 +set_max_delay -from fpga_top/cby_1__1_/chany_bottom_in[6] -to fpga_top/cby_1__1_/chany_bottom_out[6] 2.272500113e-12 +set_max_delay -from fpga_top/cby_1__1_/chany_top_in[6] -to fpga_top/cby_1__1_/chany_top_out[6] 2.272500113e-12 +set_max_delay -from fpga_top/cby_1__1_/chany_bottom_in[7] -to fpga_top/cby_1__1_/chany_bottom_out[7] 2.272500113e-12 +set_max_delay -from fpga_top/cby_1__1_/chany_top_in[7] -to fpga_top/cby_1__1_/chany_top_out[7] 2.272500113e-12 +set_max_delay -from fpga_top/cby_1__1_/chany_bottom_in[8] -to fpga_top/cby_1__1_/chany_bottom_out[8] 2.272500113e-12 +set_max_delay -from fpga_top/cby_1__1_/chany_top_in[8] -to fpga_top/cby_1__1_/chany_top_out[8] 2.272500113e-12 +set_max_delay -from fpga_top/cby_1__1_/chany_bottom_in[9] -to fpga_top/cby_1__1_/chany_bottom_out[9] 2.272500113e-12 +set_max_delay -from fpga_top/cby_1__1_/chany_top_in[9] -to fpga_top/cby_1__1_/chany_top_out[9] 2.272500113e-12 +set_max_delay -from fpga_top/cby_1__1_/chany_bottom_in[0] -to fpga_top/cby_1__1_/right_grid_left_width_0_height_0_subtile_0__pin_I_3_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_1__1_/chany_top_in[0] -to fpga_top/cby_1__1_/right_grid_left_width_0_height_0_subtile_0__pin_I_3_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_1__1_/chany_bottom_in[5] -to fpga_top/cby_1__1_/right_grid_left_width_0_height_0_subtile_0__pin_I_3_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_1__1_/chany_top_in[5] -to fpga_top/cby_1__1_/right_grid_left_width_0_height_0_subtile_0__pin_I_3_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_1__1_/chany_bottom_in[1] -to fpga_top/cby_1__1_/right_grid_left_width_0_height_0_subtile_0__pin_I_7_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_1__1_/chany_top_in[1] -to fpga_top/cby_1__1_/right_grid_left_width_0_height_0_subtile_0__pin_I_7_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_1__1_/chany_bottom_in[2] -to fpga_top/cby_1__1_/left_grid_right_width_0_height_0_subtile_0__pin_I_1_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_1__1_/chany_top_in[2] -to fpga_top/cby_1__1_/left_grid_right_width_0_height_0_subtile_0__pin_I_1_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_1__1_/chany_bottom_in[7] -to fpga_top/cby_1__1_/left_grid_right_width_0_height_0_subtile_0__pin_I_1_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_1__1_/chany_top_in[7] -to fpga_top/cby_1__1_/left_grid_right_width_0_height_0_subtile_0__pin_I_1_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_1__1_/chany_bottom_in[3] -to fpga_top/cby_1__1_/left_grid_right_width_0_height_0_subtile_0__pin_I_5_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_1__1_/chany_top_in[3] -to fpga_top/cby_1__1_/left_grid_right_width_0_height_0_subtile_0__pin_I_5_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_1__1_/chany_bottom_in[4] -to fpga_top/cby_1__1_/left_grid_right_width_0_height_0_subtile_0__pin_I_9_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_1__1_/chany_top_in[4] -to fpga_top/cby_1__1_/left_grid_right_width_0_height_0_subtile_0__pin_I_9_[0] 7.247000222e-11 diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/cby_4__1_.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/cby_4__1_.sdc new file mode 100644 index 000000000..b212ac487 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/cby_4__1_.sdc @@ -0,0 +1,73 @@ +############################################# +# Synopsys Design Constraints (SDC) +# For FPGA fabric +# Description: Constrain timing of Connection Block cby_4__1_ for PnR +# Author: Xifan TANG +# Organization: University of Utah +############################################# + +############################################# +# Define time unit +############################################# +set_units -time s + +set_max_delay -from fpga_top/cby_4__1_/chany_bottom_in[0] -to fpga_top/cby_4__1_/chany_bottom_out[0] 2.272500113e-12 +set_max_delay -from fpga_top/cby_4__1_/chany_top_in[0] -to fpga_top/cby_4__1_/chany_top_out[0] 2.272500113e-12 +set_max_delay -from fpga_top/cby_4__1_/chany_bottom_in[1] -to fpga_top/cby_4__1_/chany_bottom_out[1] 2.272500113e-12 +set_max_delay -from fpga_top/cby_4__1_/chany_top_in[1] -to fpga_top/cby_4__1_/chany_top_out[1] 2.272500113e-12 +set_max_delay -from fpga_top/cby_4__1_/chany_bottom_in[2] -to fpga_top/cby_4__1_/chany_bottom_out[2] 2.272500113e-12 +set_max_delay -from fpga_top/cby_4__1_/chany_top_in[2] -to fpga_top/cby_4__1_/chany_top_out[2] 2.272500113e-12 +set_max_delay -from fpga_top/cby_4__1_/chany_bottom_in[3] -to fpga_top/cby_4__1_/chany_bottom_out[3] 2.272500113e-12 +set_max_delay -from fpga_top/cby_4__1_/chany_top_in[3] -to fpga_top/cby_4__1_/chany_top_out[3] 2.272500113e-12 +set_max_delay -from fpga_top/cby_4__1_/chany_bottom_in[4] -to fpga_top/cby_4__1_/chany_bottom_out[4] 2.272500113e-12 +set_max_delay -from fpga_top/cby_4__1_/chany_top_in[4] -to fpga_top/cby_4__1_/chany_top_out[4] 2.272500113e-12 +set_max_delay -from fpga_top/cby_4__1_/chany_bottom_in[5] -to fpga_top/cby_4__1_/chany_bottom_out[5] 2.272500113e-12 +set_max_delay -from fpga_top/cby_4__1_/chany_top_in[5] -to fpga_top/cby_4__1_/chany_top_out[5] 2.272500113e-12 +set_max_delay -from fpga_top/cby_4__1_/chany_bottom_in[6] -to fpga_top/cby_4__1_/chany_bottom_out[6] 2.272500113e-12 +set_max_delay -from fpga_top/cby_4__1_/chany_top_in[6] -to fpga_top/cby_4__1_/chany_top_out[6] 2.272500113e-12 +set_max_delay -from fpga_top/cby_4__1_/chany_bottom_in[7] -to fpga_top/cby_4__1_/chany_bottom_out[7] 2.272500113e-12 +set_max_delay -from fpga_top/cby_4__1_/chany_top_in[7] -to fpga_top/cby_4__1_/chany_top_out[7] 2.272500113e-12 +set_max_delay -from fpga_top/cby_4__1_/chany_bottom_in[8] -to fpga_top/cby_4__1_/chany_bottom_out[8] 2.272500113e-12 +set_max_delay -from fpga_top/cby_4__1_/chany_top_in[8] -to fpga_top/cby_4__1_/chany_top_out[8] 2.272500113e-12 +set_max_delay -from fpga_top/cby_4__1_/chany_bottom_in[9] -to fpga_top/cby_4__1_/chany_bottom_out[9] 2.272500113e-12 +set_max_delay -from fpga_top/cby_4__1_/chany_top_in[9] -to fpga_top/cby_4__1_/chany_top_out[9] 2.272500113e-12 +set_max_delay -from fpga_top/cby_4__1_/chany_bottom_in[0] -to fpga_top/cby_4__1_/right_grid_left_width_0_height_0_subtile_0__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_4__1_/chany_top_in[0] -to fpga_top/cby_4__1_/right_grid_left_width_0_height_0_subtile_0__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_4__1_/chany_bottom_in[5] -to fpga_top/cby_4__1_/right_grid_left_width_0_height_0_subtile_0__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_4__1_/chany_top_in[5] -to fpga_top/cby_4__1_/right_grid_left_width_0_height_0_subtile_0__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_4__1_/chany_bottom_in[1] -to fpga_top/cby_4__1_/right_grid_left_width_0_height_0_subtile_1__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_4__1_/chany_top_in[1] -to fpga_top/cby_4__1_/right_grid_left_width_0_height_0_subtile_1__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_4__1_/chany_bottom_in[6] -to fpga_top/cby_4__1_/right_grid_left_width_0_height_0_subtile_1__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_4__1_/chany_top_in[6] -to fpga_top/cby_4__1_/right_grid_left_width_0_height_0_subtile_1__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_4__1_/chany_bottom_in[2] -to fpga_top/cby_4__1_/right_grid_left_width_0_height_0_subtile_2__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_4__1_/chany_top_in[2] -to fpga_top/cby_4__1_/right_grid_left_width_0_height_0_subtile_2__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_4__1_/chany_bottom_in[7] -to fpga_top/cby_4__1_/right_grid_left_width_0_height_0_subtile_2__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_4__1_/chany_top_in[7] -to fpga_top/cby_4__1_/right_grid_left_width_0_height_0_subtile_2__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_4__1_/chany_bottom_in[3] -to fpga_top/cby_4__1_/right_grid_left_width_0_height_0_subtile_3__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_4__1_/chany_top_in[3] -to fpga_top/cby_4__1_/right_grid_left_width_0_height_0_subtile_3__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_4__1_/chany_bottom_in[8] -to fpga_top/cby_4__1_/right_grid_left_width_0_height_0_subtile_3__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_4__1_/chany_top_in[8] -to fpga_top/cby_4__1_/right_grid_left_width_0_height_0_subtile_3__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_4__1_/chany_bottom_in[4] -to fpga_top/cby_4__1_/right_grid_left_width_0_height_0_subtile_4__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_4__1_/chany_top_in[4] -to fpga_top/cby_4__1_/right_grid_left_width_0_height_0_subtile_4__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_4__1_/chany_bottom_in[9] -to fpga_top/cby_4__1_/right_grid_left_width_0_height_0_subtile_4__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_4__1_/chany_top_in[9] -to fpga_top/cby_4__1_/right_grid_left_width_0_height_0_subtile_4__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_4__1_/chany_bottom_in[0] -to fpga_top/cby_4__1_/right_grid_left_width_0_height_0_subtile_5__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_4__1_/chany_top_in[0] -to fpga_top/cby_4__1_/right_grid_left_width_0_height_0_subtile_5__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_4__1_/chany_bottom_in[5] -to fpga_top/cby_4__1_/right_grid_left_width_0_height_0_subtile_5__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_4__1_/chany_top_in[5] -to fpga_top/cby_4__1_/right_grid_left_width_0_height_0_subtile_5__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_4__1_/chany_bottom_in[1] -to fpga_top/cby_4__1_/right_grid_left_width_0_height_0_subtile_6__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_4__1_/chany_top_in[1] -to fpga_top/cby_4__1_/right_grid_left_width_0_height_0_subtile_6__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_4__1_/chany_bottom_in[6] -to fpga_top/cby_4__1_/right_grid_left_width_0_height_0_subtile_6__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_4__1_/chany_top_in[6] -to fpga_top/cby_4__1_/right_grid_left_width_0_height_0_subtile_6__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_4__1_/chany_bottom_in[2] -to fpga_top/cby_4__1_/right_grid_left_width_0_height_0_subtile_7__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_4__1_/chany_top_in[2] -to fpga_top/cby_4__1_/right_grid_left_width_0_height_0_subtile_7__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_4__1_/chany_bottom_in[7] -to fpga_top/cby_4__1_/right_grid_left_width_0_height_0_subtile_7__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_4__1_/chany_top_in[7] -to fpga_top/cby_4__1_/right_grid_left_width_0_height_0_subtile_7__pin_outpad_0_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_4__1_/chany_bottom_in[3] -to fpga_top/cby_4__1_/left_grid_right_width_0_height_0_subtile_0__pin_I_1_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_4__1_/chany_top_in[3] -to fpga_top/cby_4__1_/left_grid_right_width_0_height_0_subtile_0__pin_I_1_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_4__1_/chany_bottom_in[8] -to fpga_top/cby_4__1_/left_grid_right_width_0_height_0_subtile_0__pin_I_1_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_4__1_/chany_top_in[8] -to fpga_top/cby_4__1_/left_grid_right_width_0_height_0_subtile_0__pin_I_1_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_4__1_/chany_bottom_in[9] -to fpga_top/cby_4__1_/left_grid_right_width_0_height_0_subtile_0__pin_I_5_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_4__1_/chany_top_in[9] -to fpga_top/cby_4__1_/left_grid_right_width_0_height_0_subtile_0__pin_I_5_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_4__1_/chany_bottom_in[0] -to fpga_top/cby_4__1_/left_grid_right_width_0_height_0_subtile_0__pin_I_9_[0] 7.247000222e-11 +set_max_delay -from fpga_top/cby_4__1_/chany_top_in[0] -to fpga_top/cby_4__1_/left_grid_right_width_0_height_0_subtile_0__pin_I_9_[0] 7.247000222e-11 diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/ccff_timing.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/ccff_timing.sdc new file mode 100644 index 000000000..bc1f632f0 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/ccff_timing.sdc @@ -0,0 +1,8431 @@ +############################################# +# Synopsys Design Constraints (SDC) +# For FPGA fabric +# Description: Timing constraints for configurable chains used in PnR +# Author: Xifan TANG +# Organization: University of Utah +############################################# + +############################################# +# Define time unit +############################################# +set_units -time ns + +set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_4__4_/mem_bottom_track_3/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_4__4_/mem_bottom_track_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_bottom_track_3/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_3/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_bottom_track_3/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_bottom_track_3/DFF_1_/Q -to fpga_top/sb_4__4_/mem_bottom_track_5/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_bottom_track_3/DFF_1_/Q -to fpga_top/sb_4__4_/mem_bottom_track_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_bottom_track_5/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_5/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_bottom_track_5/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_bottom_track_5/DFF_1_/Q -to fpga_top/sb_4__4_/mem_bottom_track_7/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_bottom_track_5/DFF_1_/Q -to fpga_top/sb_4__4_/mem_bottom_track_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_bottom_track_7/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_7/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_bottom_track_7/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_bottom_track_7/DFF_1_/Q -to fpga_top/sb_4__4_/mem_bottom_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_bottom_track_7/DFF_1_/Q -to fpga_top/sb_4__4_/mem_bottom_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_4__4_/mem_bottom_track_11/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_4__4_/mem_bottom_track_11/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_bottom_track_11/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_11/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_bottom_track_11/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_11/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_bottom_track_11/DFF_1_/Q -to fpga_top/sb_4__4_/mem_bottom_track_13/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_bottom_track_11/DFF_1_/Q -to fpga_top/sb_4__4_/mem_bottom_track_13/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_bottom_track_13/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_13/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_bottom_track_13/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_13/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_bottom_track_13/DFF_1_/Q -to fpga_top/sb_4__4_/mem_bottom_track_15/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_bottom_track_13/DFF_1_/Q -to fpga_top/sb_4__4_/mem_bottom_track_15/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_bottom_track_15/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_15/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_bottom_track_15/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_15/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_bottom_track_15/DFF_1_/Q -to fpga_top/sb_4__4_/mem_bottom_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_bottom_track_15/DFF_1_/Q -to fpga_top/sb_4__4_/mem_bottom_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_4__4_/mem_left_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_4__4_/mem_left_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_4__4_/mem_left_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_4__4_/mem_left_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_4__4_/mem_left_track_3/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_4__4_/mem_left_track_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_left_track_3/DFF_0_/Q -to fpga_top/sb_4__4_/mem_left_track_3/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_left_track_3/DFF_0_/Q -to fpga_top/sb_4__4_/mem_left_track_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_left_track_3/DFF_1_/Q -to fpga_top/sb_4__4_/mem_left_track_5/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_left_track_3/DFF_1_/Q -to fpga_top/sb_4__4_/mem_left_track_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_left_track_5/DFF_0_/Q -to fpga_top/sb_4__4_/mem_left_track_5/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_left_track_5/DFF_0_/Q -to fpga_top/sb_4__4_/mem_left_track_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_left_track_5/DFF_1_/Q -to fpga_top/sb_4__4_/mem_left_track_7/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_left_track_5/DFF_1_/Q -to fpga_top/sb_4__4_/mem_left_track_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_left_track_7/DFF_0_/Q -to fpga_top/sb_4__4_/mem_left_track_7/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_left_track_7/DFF_0_/Q -to fpga_top/sb_4__4_/mem_left_track_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_left_track_7/DFF_1_/Q -to fpga_top/sb_4__4_/mem_left_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_left_track_7/DFF_1_/Q -to fpga_top/sb_4__4_/mem_left_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_4__4_/mem_left_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_4__4_/mem_left_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_4__4_/mem_left_track_11/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_4__4_/mem_left_track_11/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_left_track_11/DFF_0_/Q -to fpga_top/sb_4__4_/mem_left_track_11/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_left_track_11/DFF_0_/Q -to fpga_top/sb_4__4_/mem_left_track_11/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_left_track_11/DFF_1_/Q -to fpga_top/sb_4__4_/mem_left_track_13/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_left_track_11/DFF_1_/Q -to fpga_top/sb_4__4_/mem_left_track_13/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_left_track_13/DFF_0_/Q -to fpga_top/sb_4__4_/mem_left_track_13/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_left_track_13/DFF_0_/Q -to fpga_top/sb_4__4_/mem_left_track_13/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_left_track_13/DFF_1_/Q -to fpga_top/sb_4__4_/mem_left_track_15/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_left_track_13/DFF_1_/Q -to fpga_top/sb_4__4_/mem_left_track_15/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_left_track_15/DFF_0_/Q -to fpga_top/sb_4__4_/mem_left_track_15/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_left_track_15/DFF_0_/Q -to fpga_top/sb_4__4_/mem_left_track_15/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_left_track_15/DFF_1_/Q -to fpga_top/sb_4__4_/mem_left_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_left_track_15/DFF_1_/Q -to fpga_top/sb_4__4_/mem_left_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_4__4_/mem_left_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_4__4_/mem_left_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_left_track_17/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_left_track_17/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_1/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_1/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_3/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_3/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_3/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_3/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_3/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_3/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_3/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_3/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_4/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_3/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_4/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_4/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_4/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_4/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_4/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_4/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_4/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_4/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_4/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_4/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_5/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_4/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_5/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_5/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_5/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_5/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_5/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_5/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_5/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_5/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_6/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_5/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_6/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_6/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_6/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_6/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_6/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_6/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_6/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_6/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_6/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_6/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_7/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_6/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_7/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_7/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_7/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_7/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_7/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_7/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_7/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_7/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_top_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_7/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_top_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_top_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_top_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_top_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_top_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_top_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_top_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_top_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_top_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_top_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_top_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_top_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_top_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_top_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_top_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_3__4_/mem_right_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_3__4_/mem_right_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_3__4_/mem_right_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_3__4_/mem_right_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_3__4_/mem_right_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_3__4_/mem_right_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_3__4_/mem_right_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_3__4_/mem_right_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_3__4_/mem_right_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_3__4_/mem_right_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_3__4_/mem_right_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_3__4_/mem_right_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_3__4_/mem_right_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_3__4_/mem_right_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_3__4_/mem_right_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_3__4_/mem_right_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_3__4_/mem_right_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_3__4_/mem_right_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_3__4_/mem_right_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_3__4_/mem_right_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_3__4_/mem_right_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_3__4_/mem_right_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_3__4_/mem_right_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_3__4_/mem_right_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_3__4_/mem_bottom_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_3__4_/mem_bottom_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_3__4_/mem_bottom_track_3/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_3__4_/mem_bottom_track_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_3/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_3/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_3/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_3/DFF_1_/Q -to fpga_top/sb_3__4_/mem_bottom_track_5/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_3/DFF_1_/Q -to fpga_top/sb_3__4_/mem_bottom_track_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_5/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_5/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_5/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_5/DFF_1_/Q -to fpga_top/sb_3__4_/mem_bottom_track_7/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_5/DFF_1_/Q -to fpga_top/sb_3__4_/mem_bottom_track_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_7/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_7/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_7/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_7/DFF_1_/Q -to fpga_top/sb_3__4_/mem_bottom_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_7/DFF_1_/Q -to fpga_top/sb_3__4_/mem_bottom_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_3__4_/mem_bottom_track_11/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_3__4_/mem_bottom_track_11/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_11/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_11/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_11/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_11/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_11/DFF_1_/Q -to fpga_top/sb_3__4_/mem_bottom_track_13/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_11/DFF_1_/Q -to fpga_top/sb_3__4_/mem_bottom_track_13/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_13/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_13/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_13/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_13/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_13/DFF_1_/Q -to fpga_top/sb_3__4_/mem_bottom_track_15/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_13/DFF_1_/Q -to fpga_top/sb_3__4_/mem_bottom_track_15/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_15/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_15/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_15/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_15/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_15/DFF_1_/Q -to fpga_top/sb_3__4_/mem_bottom_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_15/DFF_1_/Q -to fpga_top/sb_3__4_/mem_bottom_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_3__4_/mem_bottom_track_19/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_3__4_/mem_bottom_track_19/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_19/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_19/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_19/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_19/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_19/DFF_1_/Q -to fpga_top/sb_3__4_/mem_left_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_19/DFF_1_/Q -to fpga_top/sb_3__4_/mem_left_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_3__4_/mem_left_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_3__4_/mem_left_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_3__4_/mem_left_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_3__4_/mem_left_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_3__4_/mem_left_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_3__4_/mem_left_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_3__4_/mem_left_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_3__4_/mem_left_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_3__4_/mem_left_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_3__4_/mem_left_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_3__4_/mem_left_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_3__4_/mem_left_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_3__4_/mem_left_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_3__4_/mem_left_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_3__4_/mem_left_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_3__4_/mem_left_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_3__4_/mem_left_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_3__4_/mem_left_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_3__4_/mem_left_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_3__4_/mem_left_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_3__4_/mem_left_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_3__4_/mem_left_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_1/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_1/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_3/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_3/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_3/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_3/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_3/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_3/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_3/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_3/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_4/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_3/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_4/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_4/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_4/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_4/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_4/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_4/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_4/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_4/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_4/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_4/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_5/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_4/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_5/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_5/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_5/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_5/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_5/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_5/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_5/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_5/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_6/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_5/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_6/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_6/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_6/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_6/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_6/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_6/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_6/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_6/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_6/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_6/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_7/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_6/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_7/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_7/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_7/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_7/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_7/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_7/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_7/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_7/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_top_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_7/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_top_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_top_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_top_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_top_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_top_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_top_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_top_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_top_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_top_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_top_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_top_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_top_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_top_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_top_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_top_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_2__4_/mem_right_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_2__4_/mem_right_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_2__4_/mem_right_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_2__4_/mem_right_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_2__4_/mem_right_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_2__4_/mem_right_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_2__4_/mem_right_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_2__4_/mem_right_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_2__4_/mem_right_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_2__4_/mem_right_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_2__4_/mem_right_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_2__4_/mem_right_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_2__4_/mem_right_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_2__4_/mem_right_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_2__4_/mem_right_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_2__4_/mem_right_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_2__4_/mem_right_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_2__4_/mem_right_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_2__4_/mem_right_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_2__4_/mem_right_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_2__4_/mem_right_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_2__4_/mem_right_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_2__4_/mem_right_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_2__4_/mem_right_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_2__4_/mem_bottom_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_2__4_/mem_bottom_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_2__4_/mem_bottom_track_3/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_2__4_/mem_bottom_track_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_3/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_3/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_3/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_3/DFF_1_/Q -to fpga_top/sb_2__4_/mem_bottom_track_5/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_3/DFF_1_/Q -to fpga_top/sb_2__4_/mem_bottom_track_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_5/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_5/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_5/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_5/DFF_1_/Q -to fpga_top/sb_2__4_/mem_bottom_track_7/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_5/DFF_1_/Q -to fpga_top/sb_2__4_/mem_bottom_track_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_7/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_7/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_7/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_7/DFF_1_/Q -to fpga_top/sb_2__4_/mem_bottom_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_7/DFF_1_/Q -to fpga_top/sb_2__4_/mem_bottom_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_2__4_/mem_bottom_track_11/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_2__4_/mem_bottom_track_11/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_11/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_11/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_11/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_11/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_11/DFF_1_/Q -to fpga_top/sb_2__4_/mem_bottom_track_13/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_11/DFF_1_/Q -to fpga_top/sb_2__4_/mem_bottom_track_13/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_13/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_13/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_13/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_13/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_13/DFF_1_/Q -to fpga_top/sb_2__4_/mem_bottom_track_15/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_13/DFF_1_/Q -to fpga_top/sb_2__4_/mem_bottom_track_15/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_15/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_15/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_15/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_15/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_15/DFF_1_/Q -to fpga_top/sb_2__4_/mem_bottom_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_15/DFF_1_/Q -to fpga_top/sb_2__4_/mem_bottom_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_2__4_/mem_bottom_track_19/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_2__4_/mem_bottom_track_19/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_19/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_19/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_19/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_19/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_19/DFF_1_/Q -to fpga_top/sb_2__4_/mem_left_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_19/DFF_1_/Q -to fpga_top/sb_2__4_/mem_left_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_2__4_/mem_left_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_2__4_/mem_left_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_2__4_/mem_left_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_2__4_/mem_left_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_2__4_/mem_left_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_2__4_/mem_left_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_2__4_/mem_left_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_2__4_/mem_left_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_2__4_/mem_left_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_2__4_/mem_left_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_2__4_/mem_left_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_2__4_/mem_left_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_2__4_/mem_left_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_2__4_/mem_left_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_2__4_/mem_left_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_2__4_/mem_left_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_2__4_/mem_left_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_2__4_/mem_left_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_2__4_/mem_left_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_2__4_/mem_left_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_2__4_/mem_left_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_2__4_/mem_left_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_1/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_1/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_3/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_3/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_3/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_3/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_3/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_3/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_3/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_3/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_4/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_3/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_4/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_4/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_4/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_4/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_4/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_4/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_4/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_4/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_4/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_4/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_5/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_4/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_5/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_5/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_5/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_5/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_5/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_5/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_5/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_5/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_6/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_5/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_6/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_6/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_6/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_6/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_6/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_6/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_6/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_6/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_6/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_6/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_7/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_6/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_7/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_7/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_7/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_7/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_7/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_7/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_7/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_7/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_top_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_7/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_top_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_top_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_top_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_top_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_top_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_top_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_top_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_top_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_top_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_top_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_top_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_top_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_top_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_top_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_top_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_1__4_/mem_right_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_1__4_/mem_right_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_1__4_/mem_right_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_1__4_/mem_right_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_1__4_/mem_right_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_1__4_/mem_right_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_1__4_/mem_right_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_1__4_/mem_right_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_1__4_/mem_right_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_1__4_/mem_right_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_1__4_/mem_right_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_1__4_/mem_right_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_1__4_/mem_right_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_1__4_/mem_right_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_1__4_/mem_right_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_1__4_/mem_right_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_1__4_/mem_right_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_1__4_/mem_right_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_1__4_/mem_right_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_1__4_/mem_right_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_1__4_/mem_right_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_1__4_/mem_right_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_1__4_/mem_right_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_1__4_/mem_right_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_1__4_/mem_bottom_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_1__4_/mem_bottom_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_1__4_/mem_bottom_track_3/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_1__4_/mem_bottom_track_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_3/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_3/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_3/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_3/DFF_1_/Q -to fpga_top/sb_1__4_/mem_bottom_track_5/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_3/DFF_1_/Q -to fpga_top/sb_1__4_/mem_bottom_track_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_5/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_5/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_5/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_5/DFF_1_/Q -to fpga_top/sb_1__4_/mem_bottom_track_7/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_5/DFF_1_/Q -to fpga_top/sb_1__4_/mem_bottom_track_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_7/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_7/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_7/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_7/DFF_1_/Q -to fpga_top/sb_1__4_/mem_bottom_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_7/DFF_1_/Q -to fpga_top/sb_1__4_/mem_bottom_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_1__4_/mem_bottom_track_11/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_1__4_/mem_bottom_track_11/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_11/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_11/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_11/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_11/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_11/DFF_1_/Q -to fpga_top/sb_1__4_/mem_bottom_track_13/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_11/DFF_1_/Q -to fpga_top/sb_1__4_/mem_bottom_track_13/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_13/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_13/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_13/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_13/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_13/DFF_1_/Q -to fpga_top/sb_1__4_/mem_bottom_track_15/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_13/DFF_1_/Q -to fpga_top/sb_1__4_/mem_bottom_track_15/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_15/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_15/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_15/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_15/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_15/DFF_1_/Q -to fpga_top/sb_1__4_/mem_bottom_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_15/DFF_1_/Q -to fpga_top/sb_1__4_/mem_bottom_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_1__4_/mem_bottom_track_19/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_1__4_/mem_bottom_track_19/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_19/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_19/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_19/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_19/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_19/DFF_1_/Q -to fpga_top/sb_1__4_/mem_left_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_19/DFF_1_/Q -to fpga_top/sb_1__4_/mem_left_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_1__4_/mem_left_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_1__4_/mem_left_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_1__4_/mem_left_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_1__4_/mem_left_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_1__4_/mem_left_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_1__4_/mem_left_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_1__4_/mem_left_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_1__4_/mem_left_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_1__4_/mem_left_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_1__4_/mem_left_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_1__4_/mem_left_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_1__4_/mem_left_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_1__4_/mem_left_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_1__4_/mem_left_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_1__4_/mem_left_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_1__4_/mem_left_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_1__4_/mem_left_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_1__4_/mem_left_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_1__4_/mem_left_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_1__4_/mem_left_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_1__4_/mem_left_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_1__4_/mem_left_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_1/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_1/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_3/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_3/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_3/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_3/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_3/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_3/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_3/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_3/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_4/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_3/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_4/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_4/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_4/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_4/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_4/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_4/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_4/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_4/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_4/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_4/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_5/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_4/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_5/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_5/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_5/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_5/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_5/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_5/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_5/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_5/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_6/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_5/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_6/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_6/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_6/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_6/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_6/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_6/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_6/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_6/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_6/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_6/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_7/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_6/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_7/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_7/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_7/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_7/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_7/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_7/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_7/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_7/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_top_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_7/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_top_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_top_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_top_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_top_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_top_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_top_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_top_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_top_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_top_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_top_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_top_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_top_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_top_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_top_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_top_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_0__4_/mem_right_track_2/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_0__4_/mem_right_track_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_right_track_2/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_2/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_right_track_2/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_right_track_2/DFF_1_/Q -to fpga_top/sb_0__4_/mem_right_track_4/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_right_track_2/DFF_1_/Q -to fpga_top/sb_0__4_/mem_right_track_4/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_right_track_4/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_4/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_right_track_4/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_4/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_right_track_4/DFF_1_/Q -to fpga_top/sb_0__4_/mem_right_track_6/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_right_track_4/DFF_1_/Q -to fpga_top/sb_0__4_/mem_right_track_6/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_right_track_6/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_6/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_right_track_6/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_6/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_right_track_6/DFF_1_/Q -to fpga_top/sb_0__4_/mem_right_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_right_track_6/DFF_1_/Q -to fpga_top/sb_0__4_/mem_right_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_0__4_/mem_right_track_10/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_0__4_/mem_right_track_10/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_right_track_10/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_10/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_right_track_10/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_10/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_right_track_10/DFF_1_/Q -to fpga_top/sb_0__4_/mem_right_track_12/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_right_track_10/DFF_1_/Q -to fpga_top/sb_0__4_/mem_right_track_12/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_right_track_12/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_12/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_right_track_12/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_12/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_right_track_12/DFF_1_/Q -to fpga_top/sb_0__4_/mem_right_track_14/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_right_track_12/DFF_1_/Q -to fpga_top/sb_0__4_/mem_right_track_14/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_right_track_14/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_14/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_right_track_14/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_14/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_right_track_14/DFF_1_/Q -to fpga_top/sb_0__4_/mem_right_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_right_track_14/DFF_1_/Q -to fpga_top/sb_0__4_/mem_right_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_0__4_/mem_bottom_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_0__4_/mem_bottom_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_0__4_/mem_bottom_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_0__4_/mem_bottom_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_0__4_/mem_bottom_track_3/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_0__4_/mem_bottom_track_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_bottom_track_3/DFF_0_/Q -to fpga_top/sb_0__4_/mem_bottom_track_3/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_bottom_track_3/DFF_0_/Q -to fpga_top/sb_0__4_/mem_bottom_track_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_bottom_track_3/DFF_1_/Q -to fpga_top/sb_0__4_/mem_bottom_track_5/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_bottom_track_3/DFF_1_/Q -to fpga_top/sb_0__4_/mem_bottom_track_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_bottom_track_5/DFF_0_/Q -to fpga_top/sb_0__4_/mem_bottom_track_5/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_bottom_track_5/DFF_0_/Q -to fpga_top/sb_0__4_/mem_bottom_track_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_bottom_track_5/DFF_1_/Q -to fpga_top/sb_0__4_/mem_bottom_track_7/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_bottom_track_5/DFF_1_/Q -to fpga_top/sb_0__4_/mem_bottom_track_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_bottom_track_7/DFF_0_/Q -to fpga_top/sb_0__4_/mem_bottom_track_7/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_bottom_track_7/DFF_0_/Q -to fpga_top/sb_0__4_/mem_bottom_track_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_bottom_track_7/DFF_1_/Q -to fpga_top/sb_0__4_/mem_bottom_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_bottom_track_7/DFF_1_/Q -to fpga_top/sb_0__4_/mem_bottom_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_0__4_/mem_bottom_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_0__4_/mem_bottom_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_0__4_/mem_bottom_track_11/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_0__4_/mem_bottom_track_11/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_bottom_track_11/DFF_0_/Q -to fpga_top/sb_0__4_/mem_bottom_track_11/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_bottom_track_11/DFF_0_/Q -to fpga_top/sb_0__4_/mem_bottom_track_11/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_bottom_track_11/DFF_1_/Q -to fpga_top/sb_0__4_/mem_bottom_track_13/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_bottom_track_11/DFF_1_/Q -to fpga_top/sb_0__4_/mem_bottom_track_13/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_bottom_track_13/DFF_0_/Q -to fpga_top/sb_0__4_/mem_bottom_track_13/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_bottom_track_13/DFF_0_/Q -to fpga_top/sb_0__4_/mem_bottom_track_13/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_bottom_track_13/DFF_1_/Q -to fpga_top/sb_0__4_/mem_bottom_track_15/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_bottom_track_13/DFF_1_/Q -to fpga_top/sb_0__4_/mem_bottom_track_15/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_bottom_track_15/DFF_0_/Q -to fpga_top/sb_0__4_/mem_bottom_track_15/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_bottom_track_15/DFF_0_/Q -to fpga_top/sb_0__4_/mem_bottom_track_15/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_bottom_track_15/DFF_1_/Q -to fpga_top/sb_0__4_/mem_bottom_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_bottom_track_15/DFF_1_/Q -to fpga_top/sb_0__4_/mem_bottom_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_0__4_/mem_bottom_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_0__4_/mem_bottom_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_0__3_/mem_top_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_0__3_/mem_top_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_0__3_/mem_top_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_0__3_/mem_top_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_0__3_/mem_top_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_0__3_/mem_top_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_0__3_/mem_top_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_0__3_/mem_top_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_0__3_/mem_top_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_0__3_/mem_top_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_0__3_/mem_top_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_0__3_/mem_top_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_0__3_/mem_top_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_0__3_/mem_top_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_0__3_/mem_top_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_0__3_/mem_top_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_0__3_/mem_top_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_0__3_/mem_top_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_0__3_/mem_top_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_0__3_/mem_top_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_0__3_/mem_top_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_0__3_/mem_top_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_0__3_/mem_top_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_0__3_/mem_top_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_0__3_/mem_right_track_2/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_0__3_/mem_right_track_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_right_track_2/DFF_0_/Q -to fpga_top/sb_0__3_/mem_right_track_2/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_right_track_2/DFF_0_/Q -to fpga_top/sb_0__3_/mem_right_track_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_right_track_2/DFF_1_/Q -to fpga_top/sb_0__3_/mem_right_track_4/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_right_track_2/DFF_1_/Q -to fpga_top/sb_0__3_/mem_right_track_4/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_right_track_4/DFF_0_/Q -to fpga_top/sb_0__3_/mem_right_track_4/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_right_track_4/DFF_0_/Q -to fpga_top/sb_0__3_/mem_right_track_4/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_right_track_4/DFF_1_/Q -to fpga_top/sb_0__3_/mem_right_track_6/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_right_track_4/DFF_1_/Q -to fpga_top/sb_0__3_/mem_right_track_6/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_right_track_6/DFF_0_/Q -to fpga_top/sb_0__3_/mem_right_track_6/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_right_track_6/DFF_0_/Q -to fpga_top/sb_0__3_/mem_right_track_6/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_right_track_6/DFF_1_/Q -to fpga_top/sb_0__3_/mem_right_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_right_track_6/DFF_1_/Q -to fpga_top/sb_0__3_/mem_right_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_0__3_/mem_right_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_0__3_/mem_right_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_0__3_/mem_right_track_10/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_0__3_/mem_right_track_10/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_right_track_10/DFF_0_/Q -to fpga_top/sb_0__3_/mem_right_track_10/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_right_track_10/DFF_0_/Q -to fpga_top/sb_0__3_/mem_right_track_10/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_right_track_10/DFF_1_/Q -to fpga_top/sb_0__3_/mem_right_track_12/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_right_track_10/DFF_1_/Q -to fpga_top/sb_0__3_/mem_right_track_12/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_right_track_12/DFF_0_/Q -to fpga_top/sb_0__3_/mem_right_track_12/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_right_track_12/DFF_0_/Q -to fpga_top/sb_0__3_/mem_right_track_12/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_right_track_12/DFF_1_/Q -to fpga_top/sb_0__3_/mem_right_track_14/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_right_track_12/DFF_1_/Q -to fpga_top/sb_0__3_/mem_right_track_14/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_right_track_14/DFF_0_/Q -to fpga_top/sb_0__3_/mem_right_track_14/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_right_track_14/DFF_0_/Q -to fpga_top/sb_0__3_/mem_right_track_14/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_right_track_14/DFF_1_/Q -to fpga_top/sb_0__3_/mem_right_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_right_track_14/DFF_1_/Q -to fpga_top/sb_0__3_/mem_right_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_0__3_/mem_right_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_0__3_/mem_right_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_0__3_/mem_bottom_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_0__3_/mem_bottom_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_0__3_/mem_bottom_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_0__3_/mem_bottom_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_0__3_/mem_bottom_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_0__3_/mem_bottom_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_0__3_/mem_bottom_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_0__3_/mem_bottom_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_0__3_/mem_bottom_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_0__3_/mem_bottom_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_0__3_/mem_bottom_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_0__3_/mem_bottom_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_0__3_/mem_bottom_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_0__3_/mem_bottom_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_0__3_/mem_bottom_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_0__3_/mem_bottom_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_0__3_/mem_bottom_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_0__3_/mem_bottom_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_0__3_/mem_bottom_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_0__3_/mem_bottom_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_0__3_/mem_bottom_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_0__3_/mem_bottom_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_0__3_/mem_bottom_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_0__3_/mem_bottom_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/cby_0__4_/mem_left_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/cby_0__4_/mem_left_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_0__4_/mem_left_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_0__4_/mem_left_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_0__4_/mem_left_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_0__4_/mem_left_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_0__4_/mem_left_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_0__4_/mem_left_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_0__4_/mem_left_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_0__4_/mem_left_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_0__4_/mem_right_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_0__4_/mem_right_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_0__4_/mem_right_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_0__4_/mem_right_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_0__4_/mem_right_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_0__4_/mem_right_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_0__4_/mem_right_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_0__4_/mem_right_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_0__4_/mem_right_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_0__4_/mem_right_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_0__4_/mem_right_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_0__4_/mem_right_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_1/DFF_2_/Q -to fpga_top/cby_0__4_/mem_right_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_1/DFF_2_/Q -to fpga_top/cby_0__4_/mem_right_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_0__4_/mem_right_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_0__4_/mem_right_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/cby_0__4_/mem_right_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/cby_0__4_/mem_right_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_2/DFF_2_/Q -to fpga_top/cby_0__4_/mem_right_ipin_3/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_2/DFF_2_/Q -to fpga_top/cby_0__4_/mem_right_ipin_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_3/DFF_0_/Q -to fpga_top/cby_0__4_/mem_right_ipin_3/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_3/DFF_0_/Q -to fpga_top/cby_0__4_/mem_right_ipin_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_3/DFF_1_/Q -to fpga_top/cby_0__4_/mem_right_ipin_3/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_3/DFF_1_/Q -to fpga_top/cby_0__4_/mem_right_ipin_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_3/DFF_2_/Q -to fpga_top/cby_0__4_/mem_right_ipin_4/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_3/DFF_2_/Q -to fpga_top/cby_0__4_/mem_right_ipin_4/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_4/DFF_0_/Q -to fpga_top/cby_0__4_/mem_right_ipin_4/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_4/DFF_0_/Q -to fpga_top/cby_0__4_/mem_right_ipin_4/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_4/DFF_1_/Q -to fpga_top/cby_0__4_/mem_right_ipin_4/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_4/DFF_1_/Q -to fpga_top/cby_0__4_/mem_right_ipin_4/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_4/DFF_2_/Q -to fpga_top/cby_0__4_/mem_right_ipin_5/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_4/DFF_2_/Q -to fpga_top/cby_0__4_/mem_right_ipin_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_5/DFF_0_/Q -to fpga_top/cby_0__4_/mem_right_ipin_5/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_5/DFF_0_/Q -to fpga_top/cby_0__4_/mem_right_ipin_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_5/DFF_1_/Q -to fpga_top/cby_0__4_/mem_right_ipin_5/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_5/DFF_1_/Q -to fpga_top/cby_0__4_/mem_right_ipin_5/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_5/DFF_2_/Q -to fpga_top/cby_0__4_/mem_right_ipin_6/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_5/DFF_2_/Q -to fpga_top/cby_0__4_/mem_right_ipin_6/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_6/DFF_0_/Q -to fpga_top/cby_0__4_/mem_right_ipin_6/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_6/DFF_0_/Q -to fpga_top/cby_0__4_/mem_right_ipin_6/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_6/DFF_1_/Q -to fpga_top/cby_0__4_/mem_right_ipin_6/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_6/DFF_1_/Q -to fpga_top/cby_0__4_/mem_right_ipin_6/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_6/DFF_2_/Q -to fpga_top/cby_0__4_/mem_right_ipin_7/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_6/DFF_2_/Q -to fpga_top/cby_0__4_/mem_right_ipin_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_7/DFF_0_/Q -to fpga_top/cby_0__4_/mem_right_ipin_7/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_7/DFF_0_/Q -to fpga_top/cby_0__4_/mem_right_ipin_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_7/DFF_1_/Q -to fpga_top/cby_0__4_/mem_right_ipin_7/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_7/DFF_1_/Q -to fpga_top/cby_0__4_/mem_right_ipin_7/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_7/DFF_2_/Q -to fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_7/DFF_2_/Q -to fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_0__2_/mem_top_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_0__2_/mem_top_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_0__2_/mem_top_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_0__2_/mem_top_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_0__2_/mem_top_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_0__2_/mem_top_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_0__2_/mem_top_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_0__2_/mem_top_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_0__2_/mem_top_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_0__2_/mem_top_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_0__2_/mem_top_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_0__2_/mem_top_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_0__2_/mem_top_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_0__2_/mem_top_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_0__2_/mem_top_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_0__2_/mem_top_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_0__2_/mem_top_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_0__2_/mem_top_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_0__2_/mem_top_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_0__2_/mem_top_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_0__2_/mem_top_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_0__2_/mem_top_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_0__2_/mem_top_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_0__2_/mem_top_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_0__2_/mem_right_track_2/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_0__2_/mem_right_track_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_right_track_2/DFF_0_/Q -to fpga_top/sb_0__2_/mem_right_track_2/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_right_track_2/DFF_0_/Q -to fpga_top/sb_0__2_/mem_right_track_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_right_track_2/DFF_1_/Q -to fpga_top/sb_0__2_/mem_right_track_4/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_right_track_2/DFF_1_/Q -to fpga_top/sb_0__2_/mem_right_track_4/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_right_track_4/DFF_0_/Q -to fpga_top/sb_0__2_/mem_right_track_4/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_right_track_4/DFF_0_/Q -to fpga_top/sb_0__2_/mem_right_track_4/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_right_track_4/DFF_1_/Q -to fpga_top/sb_0__2_/mem_right_track_6/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_right_track_4/DFF_1_/Q -to fpga_top/sb_0__2_/mem_right_track_6/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_right_track_6/DFF_0_/Q -to fpga_top/sb_0__2_/mem_right_track_6/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_right_track_6/DFF_0_/Q -to fpga_top/sb_0__2_/mem_right_track_6/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_right_track_6/DFF_1_/Q -to fpga_top/sb_0__2_/mem_right_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_right_track_6/DFF_1_/Q -to fpga_top/sb_0__2_/mem_right_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_0__2_/mem_right_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_0__2_/mem_right_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_0__2_/mem_right_track_10/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_0__2_/mem_right_track_10/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_right_track_10/DFF_0_/Q -to fpga_top/sb_0__2_/mem_right_track_10/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_right_track_10/DFF_0_/Q -to fpga_top/sb_0__2_/mem_right_track_10/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_right_track_10/DFF_1_/Q -to fpga_top/sb_0__2_/mem_right_track_12/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_right_track_10/DFF_1_/Q -to fpga_top/sb_0__2_/mem_right_track_12/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_right_track_12/DFF_0_/Q -to fpga_top/sb_0__2_/mem_right_track_12/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_right_track_12/DFF_0_/Q -to fpga_top/sb_0__2_/mem_right_track_12/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_right_track_12/DFF_1_/Q -to fpga_top/sb_0__2_/mem_right_track_14/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_right_track_12/DFF_1_/Q -to fpga_top/sb_0__2_/mem_right_track_14/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_right_track_14/DFF_0_/Q -to fpga_top/sb_0__2_/mem_right_track_14/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_right_track_14/DFF_0_/Q -to fpga_top/sb_0__2_/mem_right_track_14/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_right_track_14/DFF_1_/Q -to fpga_top/sb_0__2_/mem_right_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_right_track_14/DFF_1_/Q -to fpga_top/sb_0__2_/mem_right_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_0__2_/mem_right_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_0__2_/mem_right_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_0__2_/mem_bottom_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_0__2_/mem_bottom_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_0__2_/mem_bottom_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_0__2_/mem_bottom_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_0__2_/mem_bottom_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_0__2_/mem_bottom_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_0__2_/mem_bottom_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_0__2_/mem_bottom_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_0__2_/mem_bottom_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_0__2_/mem_bottom_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_0__2_/mem_bottom_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_0__2_/mem_bottom_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_0__2_/mem_bottom_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_0__2_/mem_bottom_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_0__2_/mem_bottom_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_0__2_/mem_bottom_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/cby_0__3_/mem_left_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/cby_0__3_/mem_left_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_0__3_/mem_left_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_0__3_/mem_left_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_0__3_/mem_left_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_0__3_/mem_left_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_0__3_/mem_left_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_0__3_/mem_left_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_0__3_/mem_left_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_0__3_/mem_left_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_0__3_/mem_right_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_0__3_/mem_right_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_0__3_/mem_right_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_0__3_/mem_right_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_0__3_/mem_right_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_0__3_/mem_right_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_0__3_/mem_right_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_0__3_/mem_right_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_0__3_/mem_right_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_0__3_/mem_right_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_0__3_/mem_right_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_0__3_/mem_right_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_1/DFF_2_/Q -to fpga_top/cby_0__3_/mem_right_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_1/DFF_2_/Q -to fpga_top/cby_0__3_/mem_right_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_0__3_/mem_right_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_0__3_/mem_right_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/cby_0__3_/mem_right_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/cby_0__3_/mem_right_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_2/DFF_2_/Q -to fpga_top/cby_0__3_/mem_right_ipin_3/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_2/DFF_2_/Q -to fpga_top/cby_0__3_/mem_right_ipin_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_3/DFF_0_/Q -to fpga_top/cby_0__3_/mem_right_ipin_3/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_3/DFF_0_/Q -to fpga_top/cby_0__3_/mem_right_ipin_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_3/DFF_1_/Q -to fpga_top/cby_0__3_/mem_right_ipin_3/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_3/DFF_1_/Q -to fpga_top/cby_0__3_/mem_right_ipin_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_3/DFF_2_/Q -to fpga_top/cby_0__3_/mem_right_ipin_4/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_3/DFF_2_/Q -to fpga_top/cby_0__3_/mem_right_ipin_4/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_4/DFF_0_/Q -to fpga_top/cby_0__3_/mem_right_ipin_4/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_4/DFF_0_/Q -to fpga_top/cby_0__3_/mem_right_ipin_4/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_4/DFF_1_/Q -to fpga_top/cby_0__3_/mem_right_ipin_4/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_4/DFF_1_/Q -to fpga_top/cby_0__3_/mem_right_ipin_4/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_4/DFF_2_/Q -to fpga_top/cby_0__3_/mem_right_ipin_5/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_4/DFF_2_/Q -to fpga_top/cby_0__3_/mem_right_ipin_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_5/DFF_0_/Q -to fpga_top/cby_0__3_/mem_right_ipin_5/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_5/DFF_0_/Q -to fpga_top/cby_0__3_/mem_right_ipin_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_5/DFF_1_/Q -to fpga_top/cby_0__3_/mem_right_ipin_5/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_5/DFF_1_/Q -to fpga_top/cby_0__3_/mem_right_ipin_5/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_5/DFF_2_/Q -to fpga_top/cby_0__3_/mem_right_ipin_6/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_5/DFF_2_/Q -to fpga_top/cby_0__3_/mem_right_ipin_6/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_6/DFF_0_/Q -to fpga_top/cby_0__3_/mem_right_ipin_6/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_6/DFF_0_/Q -to fpga_top/cby_0__3_/mem_right_ipin_6/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_6/DFF_1_/Q -to fpga_top/cby_0__3_/mem_right_ipin_6/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_6/DFF_1_/Q -to fpga_top/cby_0__3_/mem_right_ipin_6/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_6/DFF_2_/Q -to fpga_top/cby_0__3_/mem_right_ipin_7/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_6/DFF_2_/Q -to fpga_top/cby_0__3_/mem_right_ipin_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_7/DFF_0_/Q -to fpga_top/cby_0__3_/mem_right_ipin_7/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_7/DFF_0_/Q -to fpga_top/cby_0__3_/mem_right_ipin_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_7/DFF_1_/Q -to fpga_top/cby_0__3_/mem_right_ipin_7/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_7/DFF_1_/Q -to fpga_top/cby_0__3_/mem_right_ipin_7/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_7/DFF_2_/Q -to fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_7/DFF_2_/Q -to fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_0__1_/mem_top_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_0__1_/mem_top_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_0__1_/mem_top_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_0__1_/mem_top_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_0__1_/mem_top_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_0__1_/mem_top_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_0__1_/mem_top_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_0__1_/mem_top_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_0__1_/mem_top_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_0__1_/mem_top_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_0__1_/mem_top_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_0__1_/mem_top_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_0__1_/mem_top_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_0__1_/mem_top_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_0__1_/mem_top_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_0__1_/mem_top_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_0__1_/mem_right_track_2/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_0__1_/mem_right_track_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_2/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_2/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_2/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_2/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_4/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_2/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_4/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_4/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_4/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_4/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_4/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_4/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_6/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_4/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_6/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_6/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_6/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_6/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_6/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_6/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_6/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_10/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_10/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_10/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_10/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_10/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_10/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_10/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_12/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_10/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_12/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_12/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_12/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_12/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_12/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_12/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_14/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_12/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_14/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_14/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_14/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_14/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_14/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_14/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_14/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/cby_0__2_/mem_left_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/cby_0__2_/mem_left_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_0__2_/mem_left_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_0__2_/mem_left_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_0__2_/mem_left_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_0__2_/mem_left_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_0__2_/mem_left_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_0__2_/mem_left_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_0__2_/mem_left_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_0__2_/mem_left_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_1/DFF_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_1/DFF_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_2/DFF_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_3/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_2/DFF_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_3/DFF_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_3/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_3/DFF_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_3/DFF_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_3/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_3/DFF_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_3/DFF_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_4/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_3/DFF_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_4/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_4/DFF_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_4/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_4/DFF_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_4/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_4/DFF_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_4/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_4/DFF_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_4/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_4/DFF_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_5/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_4/DFF_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_5/DFF_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_5/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_5/DFF_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_5/DFF_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_5/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_5/DFF_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_5/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_5/DFF_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_6/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_5/DFF_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_6/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_6/DFF_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_6/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_6/DFF_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_6/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_6/DFF_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_6/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_6/DFF_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_6/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_6/DFF_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_7/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_6/DFF_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_7/DFF_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_7/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_7/DFF_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_7/DFF_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_7/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_7/DFF_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_7/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_7/DFF_2_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_7/DFF_2_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_0__0_/mem_top_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_0__0_/mem_top_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__0_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_0__0_/mem_top_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__0_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_0__0_/mem_top_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__0_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_0__0_/mem_top_track_2/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__0_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_0__0_/mem_top_track_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__0_/mem_top_track_2/DFF_0_/Q -to fpga_top/sb_0__0_/mem_top_track_2/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__0_/mem_top_track_2/DFF_0_/Q -to fpga_top/sb_0__0_/mem_top_track_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__0_/mem_top_track_2/DFF_1_/Q -to fpga_top/sb_0__0_/mem_top_track_4/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__0_/mem_top_track_2/DFF_1_/Q -to fpga_top/sb_0__0_/mem_top_track_4/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__0_/mem_top_track_4/DFF_0_/Q -to fpga_top/sb_0__0_/mem_top_track_4/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__0_/mem_top_track_4/DFF_0_/Q -to fpga_top/sb_0__0_/mem_top_track_4/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__0_/mem_top_track_4/DFF_1_/Q -to fpga_top/sb_0__0_/mem_top_track_6/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__0_/mem_top_track_4/DFF_1_/Q -to fpga_top/sb_0__0_/mem_top_track_6/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__0_/mem_top_track_6/DFF_0_/Q -to fpga_top/sb_0__0_/mem_top_track_6/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__0_/mem_top_track_6/DFF_0_/Q -to fpga_top/sb_0__0_/mem_top_track_6/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__0_/mem_top_track_6/DFF_1_/Q -to fpga_top/sb_0__0_/mem_top_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__0_/mem_top_track_6/DFF_1_/Q -to fpga_top/sb_0__0_/mem_top_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__0_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_0__0_/mem_top_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__0_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_0__0_/mem_top_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__0_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_0__0_/mem_top_track_10/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__0_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_0__0_/mem_top_track_10/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__0_/mem_top_track_10/DFF_0_/Q -to fpga_top/sb_0__0_/mem_top_track_10/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__0_/mem_top_track_10/DFF_0_/Q -to fpga_top/sb_0__0_/mem_top_track_10/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__0_/mem_top_track_10/DFF_1_/Q -to fpga_top/sb_0__0_/mem_top_track_12/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__0_/mem_top_track_10/DFF_1_/Q -to fpga_top/sb_0__0_/mem_top_track_12/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__0_/mem_top_track_12/DFF_0_/Q -to fpga_top/sb_0__0_/mem_top_track_12/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__0_/mem_top_track_12/DFF_0_/Q -to fpga_top/sb_0__0_/mem_top_track_12/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__0_/mem_top_track_12/DFF_1_/Q -to fpga_top/sb_0__0_/mem_top_track_14/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__0_/mem_top_track_12/DFF_1_/Q -to fpga_top/sb_0__0_/mem_top_track_14/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__0_/mem_top_track_14/DFF_0_/Q -to fpga_top/sb_0__0_/mem_top_track_14/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__0_/mem_top_track_14/DFF_0_/Q -to fpga_top/sb_0__0_/mem_top_track_14/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__0_/mem_top_track_14/DFF_1_/Q -to fpga_top/sb_0__0_/mem_top_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__0_/mem_top_track_14/DFF_1_/Q -to fpga_top/sb_0__0_/mem_top_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__0_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_0__0_/mem_top_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__0_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_0__0_/mem_top_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__0_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_0__0_/mem_right_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__0_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_0__0_/mem_right_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__0_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_0__0_/mem_right_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__0_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_0__0_/mem_right_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__0_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_0__0_/mem_right_track_2/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__0_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_0__0_/mem_right_track_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__0_/mem_right_track_2/DFF_0_/Q -to fpga_top/sb_0__0_/mem_right_track_2/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__0_/mem_right_track_2/DFF_0_/Q -to fpga_top/sb_0__0_/mem_right_track_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__0_/mem_right_track_2/DFF_1_/Q -to fpga_top/sb_0__0_/mem_right_track_4/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__0_/mem_right_track_2/DFF_1_/Q -to fpga_top/sb_0__0_/mem_right_track_4/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__0_/mem_right_track_4/DFF_0_/Q -to fpga_top/sb_0__0_/mem_right_track_4/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__0_/mem_right_track_4/DFF_0_/Q -to fpga_top/sb_0__0_/mem_right_track_4/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__0_/mem_right_track_4/DFF_1_/Q -to fpga_top/sb_0__0_/mem_right_track_6/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__0_/mem_right_track_4/DFF_1_/Q -to fpga_top/sb_0__0_/mem_right_track_6/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__0_/mem_right_track_6/DFF_0_/Q -to fpga_top/sb_0__0_/mem_right_track_6/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__0_/mem_right_track_6/DFF_0_/Q -to fpga_top/sb_0__0_/mem_right_track_6/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__0_/mem_right_track_6/DFF_1_/Q -to fpga_top/sb_0__0_/mem_right_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__0_/mem_right_track_6/DFF_1_/Q -to fpga_top/sb_0__0_/mem_right_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__0_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_0__0_/mem_right_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__0_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_0__0_/mem_right_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__0_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_0__0_/mem_right_track_10/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__0_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_0__0_/mem_right_track_10/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__0_/mem_right_track_10/DFF_0_/Q -to fpga_top/sb_0__0_/mem_right_track_10/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__0_/mem_right_track_10/DFF_0_/Q -to fpga_top/sb_0__0_/mem_right_track_10/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__0_/mem_right_track_10/DFF_1_/Q -to fpga_top/sb_0__0_/mem_right_track_12/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__0_/mem_right_track_10/DFF_1_/Q -to fpga_top/sb_0__0_/mem_right_track_12/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__0_/mem_right_track_12/DFF_0_/Q -to fpga_top/sb_0__0_/mem_right_track_12/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__0_/mem_right_track_12/DFF_0_/Q -to fpga_top/sb_0__0_/mem_right_track_12/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__0_/mem_right_track_12/DFF_1_/Q -to fpga_top/sb_0__0_/mem_right_track_14/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__0_/mem_right_track_12/DFF_1_/Q -to fpga_top/sb_0__0_/mem_right_track_14/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__0_/mem_right_track_14/DFF_0_/Q -to fpga_top/sb_0__0_/mem_right_track_14/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__0_/mem_right_track_14/DFF_0_/Q -to fpga_top/sb_0__0_/mem_right_track_14/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__0_/mem_right_track_14/DFF_1_/Q -to fpga_top/sb_0__0_/mem_right_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__0_/mem_right_track_14/DFF_1_/Q -to fpga_top/sb_0__0_/mem_right_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__0_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_0__0_/mem_right_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__0_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_0__0_/mem_right_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__0_/mem_right_track_16/DFF_1_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__0_/mem_right_track_16/DFF_1_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_0__1_/mem_left_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_0__1_/mem_left_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_0__1_/mem_left_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_0__1_/mem_left_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFF_2_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFF_2_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_1__0_/mem_top_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_1__0_/mem_top_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__0_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_1__0_/mem_top_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__0_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_1__0_/mem_top_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__0_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_1__0_/mem_top_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__0_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_1__0_/mem_top_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__0_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_1__0_/mem_top_track_2/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__0_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_1__0_/mem_top_track_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__0_/mem_top_track_2/DFF_0_/Q -to fpga_top/sb_1__0_/mem_top_track_2/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__0_/mem_top_track_2/DFF_0_/Q -to fpga_top/sb_1__0_/mem_top_track_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__0_/mem_top_track_2/DFF_1_/Q -to fpga_top/sb_1__0_/mem_top_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__0_/mem_top_track_2/DFF_1_/Q -to fpga_top/sb_1__0_/mem_top_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__0_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_1__0_/mem_top_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__0_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_1__0_/mem_top_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__0_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_1__0_/mem_top_track_10/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__0_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_1__0_/mem_top_track_10/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__0_/mem_top_track_10/DFF_0_/Q -to fpga_top/sb_1__0_/mem_top_track_10/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__0_/mem_top_track_10/DFF_0_/Q -to fpga_top/sb_1__0_/mem_top_track_10/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__0_/mem_top_track_10/DFF_1_/Q -to fpga_top/sb_1__0_/mem_top_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__0_/mem_top_track_10/DFF_1_/Q -to fpga_top/sb_1__0_/mem_top_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__0_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_1__0_/mem_top_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__0_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_1__0_/mem_top_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__0_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_1__0_/mem_top_track_18/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__0_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_1__0_/mem_top_track_18/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__0_/mem_top_track_18/DFF_0_/Q -to fpga_top/sb_1__0_/mem_top_track_18/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__0_/mem_top_track_18/DFF_0_/Q -to fpga_top/sb_1__0_/mem_top_track_18/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__0_/mem_top_track_18/DFF_1_/Q -to fpga_top/sb_1__0_/mem_top_track_18/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__0_/mem_top_track_18/DFF_1_/Q -to fpga_top/sb_1__0_/mem_top_track_18/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__0_/mem_top_track_18/DFF_2_/Q -to fpga_top/sb_1__0_/mem_right_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__0_/mem_top_track_18/DFF_2_/Q -to fpga_top/sb_1__0_/mem_right_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__0_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_1__0_/mem_right_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__0_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_1__0_/mem_right_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__0_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_1__0_/mem_right_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__0_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_1__0_/mem_right_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__0_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_1__0_/mem_right_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__0_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_1__0_/mem_right_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__0_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_1__0_/mem_right_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__0_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_1__0_/mem_right_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__0_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_1__0_/mem_right_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__0_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_1__0_/mem_right_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__0_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_1__0_/mem_right_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__0_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_1__0_/mem_right_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__0_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_1__0_/mem_right_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__0_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_1__0_/mem_right_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__0_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_1__0_/mem_right_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__0_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_1__0_/mem_right_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__0_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_1__0_/mem_right_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__0_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_1__0_/mem_right_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__0_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_1__0_/mem_right_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__0_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_1__0_/mem_right_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__0_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_1__0_/mem_right_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__0_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_1__0_/mem_right_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__0_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_1__0_/mem_left_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__0_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_1__0_/mem_left_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__0_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_1__0_/mem_left_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__0_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_1__0_/mem_left_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__0_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_1__0_/mem_left_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__0_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_1__0_/mem_left_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__0_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_1__0_/mem_left_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__0_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_1__0_/mem_left_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__0_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_1__0_/mem_left_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__0_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_1__0_/mem_left_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__0_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_1__0_/mem_left_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__0_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_1__0_/mem_left_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__0_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_1__0_/mem_left_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__0_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_1__0_/mem_left_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__0_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_1__0_/mem_left_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__0_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_1__0_/mem_left_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__0_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_1__0_/mem_left_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__0_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_1__0_/mem_left_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__0_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_1__0_/mem_left_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__0_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_1__0_/mem_left_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__0_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_1__0_/mem_left_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__0_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_1__0_/mem_left_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__0_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_1__0_/mem_left_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__0_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_1__0_/mem_left_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__0_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_1__0_/mem_bottom_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__0_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_1__0_/mem_bottom_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__0_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__0_/mem_bottom_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__0_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__0_/mem_bottom_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__0_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__0_/mem_bottom_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__0_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__0_/mem_bottom_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__0_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__0_/mem_bottom_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__0_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__0_/mem_bottom_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__0_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__0_/mem_bottom_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__0_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__0_/mem_bottom_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__0_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__0_/mem_bottom_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__0_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__0_/mem_bottom_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__0_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__0_/mem_bottom_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__0_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__0_/mem_bottom_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__0_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_1__0_/mem_bottom_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__0_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_1__0_/mem_bottom_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__0_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__0_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__0_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__0_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__0_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__0_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__0_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__0_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__0_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__0_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__0_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__0_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__0_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__0_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__0_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__0_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__0_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__0_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__0_/mem_top_ipin_2/DFF_2_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_3/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__0_/mem_top_ipin_2/DFF_2_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__0_/mem_top_ipin_3/DFF_0_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_3/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__0_/mem_top_ipin_3/DFF_0_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__0_/mem_top_ipin_3/DFF_1_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_3/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__0_/mem_top_ipin_3/DFF_1_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__0_/mem_top_ipin_3/DFF_2_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_4/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__0_/mem_top_ipin_3/DFF_2_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_4/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__0_/mem_top_ipin_4/DFF_0_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_4/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__0_/mem_top_ipin_4/DFF_0_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_4/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__0_/mem_top_ipin_4/DFF_1_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_4/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__0_/mem_top_ipin_4/DFF_1_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_4/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__0_/mem_top_ipin_4/DFF_2_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_5/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__0_/mem_top_ipin_4/DFF_2_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__0_/mem_top_ipin_5/DFF_0_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_5/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__0_/mem_top_ipin_5/DFF_0_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__0_/mem_top_ipin_5/DFF_1_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_5/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__0_/mem_top_ipin_5/DFF_1_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_5/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__0_/mem_top_ipin_5/DFF_2_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_6/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__0_/mem_top_ipin_5/DFF_2_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_6/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__0_/mem_top_ipin_6/DFF_0_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_6/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__0_/mem_top_ipin_6/DFF_0_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_6/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__0_/mem_top_ipin_6/DFF_1_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_6/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__0_/mem_top_ipin_6/DFF_1_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_6/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__0_/mem_top_ipin_6/DFF_2_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_7/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__0_/mem_top_ipin_6/DFF_2_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__0_/mem_top_ipin_7/DFF_0_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_7/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__0_/mem_top_ipin_7/DFF_0_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__0_/mem_top_ipin_7/DFF_1_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_7/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__0_/mem_top_ipin_7/DFF_1_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_7/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__0_/mem_top_ipin_7/DFF_2_/Q -to fpga_top/cby_1__1_/mem_left_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__0_/mem_top_ipin_7/DFF_2_/Q -to fpga_top/cby_1__1_/mem_left_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_1__1_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_1__1_/mem_left_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_1__1_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_1__1_/mem_left_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_1__1_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_1__1_/mem_left_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_1__1_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_1__1_/mem_left_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_1__1_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_1__1_/mem_left_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_1__1_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_1__1_/mem_left_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_1__1_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_1__1_/mem_left_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_1__1_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_1__1_/mem_left_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_1__1_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_1__1_/mem_right_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_1__1_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_1__1_/mem_right_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_1__1_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_1__1_/mem_right_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_1__1_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_1__1_/mem_right_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_1__1_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_1__1_/mem_right_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_1__1_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_1__1_/mem_right_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_1__1_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_1__1_/mem_right_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_1__1_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_1__1_/mem_right_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_1__1_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_1__1_/mem_right_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_1__1_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_1__1_/mem_right_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_1__1_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_1__1_/mem_right_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_1__1_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_1__1_/mem_right_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_1__1_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_1__1_/mem_right_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_1__1_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_1__1_/mem_right_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_1__1_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_1__1_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_2__0_/mem_top_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_2__0_/mem_top_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_2__0_/mem_top_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_2__0_/mem_top_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_2__0_/mem_top_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_2__0_/mem_top_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_2__0_/mem_top_track_2/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_2__0_/mem_top_track_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_top_track_2/DFF_0_/Q -to fpga_top/sb_2__0_/mem_top_track_2/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_top_track_2/DFF_0_/Q -to fpga_top/sb_2__0_/mem_top_track_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_top_track_2/DFF_1_/Q -to fpga_top/sb_2__0_/mem_top_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_top_track_2/DFF_1_/Q -to fpga_top/sb_2__0_/mem_top_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_2__0_/mem_top_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_2__0_/mem_top_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_2__0_/mem_top_track_10/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_2__0_/mem_top_track_10/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_top_track_10/DFF_0_/Q -to fpga_top/sb_2__0_/mem_top_track_10/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_top_track_10/DFF_0_/Q -to fpga_top/sb_2__0_/mem_top_track_10/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_top_track_10/DFF_1_/Q -to fpga_top/sb_2__0_/mem_top_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_top_track_10/DFF_1_/Q -to fpga_top/sb_2__0_/mem_top_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_2__0_/mem_top_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_2__0_/mem_top_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_2__0_/mem_top_track_18/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_2__0_/mem_top_track_18/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_top_track_18/DFF_0_/Q -to fpga_top/sb_2__0_/mem_top_track_18/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_top_track_18/DFF_0_/Q -to fpga_top/sb_2__0_/mem_top_track_18/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_top_track_18/DFF_1_/Q -to fpga_top/sb_2__0_/mem_top_track_18/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_top_track_18/DFF_1_/Q -to fpga_top/sb_2__0_/mem_top_track_18/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_top_track_18/DFF_2_/Q -to fpga_top/sb_2__0_/mem_right_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_top_track_18/DFF_2_/Q -to fpga_top/sb_2__0_/mem_right_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_2__0_/mem_right_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_2__0_/mem_right_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_2__0_/mem_right_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_2__0_/mem_right_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_2__0_/mem_right_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_2__0_/mem_right_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_2__0_/mem_right_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_2__0_/mem_right_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_2__0_/mem_right_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_2__0_/mem_right_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_2__0_/mem_right_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_2__0_/mem_right_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_2__0_/mem_right_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_2__0_/mem_right_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_2__0_/mem_right_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_2__0_/mem_right_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_2__0_/mem_right_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_2__0_/mem_right_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_2__0_/mem_right_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_2__0_/mem_right_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_2__0_/mem_right_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_2__0_/mem_right_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_2__0_/mem_left_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_2__0_/mem_left_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_2__0_/mem_left_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_2__0_/mem_left_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_2__0_/mem_left_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_2__0_/mem_left_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_2__0_/mem_left_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_2__0_/mem_left_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_2__0_/mem_left_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_2__0_/mem_left_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_2__0_/mem_left_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_2__0_/mem_left_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_2__0_/mem_left_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_2__0_/mem_left_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_2__0_/mem_left_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_2__0_/mem_left_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_2__0_/mem_left_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_2__0_/mem_left_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_2__0_/mem_left_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_2__0_/mem_left_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_2__0_/mem_left_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_2__0_/mem_left_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_2__0_/mem_left_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_2__0_/mem_left_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_2/DFF_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_3/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_2/DFF_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_3/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_3/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_3/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_3/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_3/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_3/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_3/DFF_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_4/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_3/DFF_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_4/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_4/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_4/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_4/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_4/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_4/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_4/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_4/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_4/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_4/DFF_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_5/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_4/DFF_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_5/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_5/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_5/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_5/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_5/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_5/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_5/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_5/DFF_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_6/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_5/DFF_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_6/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_6/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_6/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_6/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_6/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_6/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_6/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_6/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_6/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_6/DFF_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_7/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_6/DFF_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_7/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_7/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_7/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_7/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_7/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_7/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_7/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_7/DFF_2_/Q -to fpga_top/cby_2__1_/mem_left_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_7/DFF_2_/Q -to fpga_top/cby_2__1_/mem_left_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_2__1_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_2__1_/mem_left_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_2__1_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_2__1_/mem_left_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_2__1_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_2__1_/mem_left_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_2__1_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_2__1_/mem_left_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_2__1_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_2__1_/mem_left_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_2__1_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_2__1_/mem_left_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_2__1_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_2__1_/mem_left_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_2__1_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_2__1_/mem_left_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_2__1_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_2__1_/mem_right_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_2__1_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_2__1_/mem_right_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_2__1_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_2__1_/mem_right_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_2__1_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_2__1_/mem_right_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_2__1_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_2__1_/mem_right_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_2__1_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_2__1_/mem_right_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_2__1_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_2__1_/mem_right_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_2__1_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_2__1_/mem_right_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_2__1_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_2__1_/mem_right_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_2__1_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_2__1_/mem_right_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_2__1_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_2__1_/mem_right_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_2__1_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_2__1_/mem_right_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_2__1_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_2__1_/mem_right_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_2__1_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_2__1_/mem_right_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_2__1_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_2__1_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_3__0_/mem_top_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_3__0_/mem_top_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_3__0_/mem_top_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_3__0_/mem_top_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_3__0_/mem_top_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_3__0_/mem_top_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_3__0_/mem_top_track_2/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_3__0_/mem_top_track_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_top_track_2/DFF_0_/Q -to fpga_top/sb_3__0_/mem_top_track_2/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_top_track_2/DFF_0_/Q -to fpga_top/sb_3__0_/mem_top_track_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_top_track_2/DFF_1_/Q -to fpga_top/sb_3__0_/mem_top_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_top_track_2/DFF_1_/Q -to fpga_top/sb_3__0_/mem_top_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_3__0_/mem_top_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_3__0_/mem_top_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_3__0_/mem_top_track_10/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_3__0_/mem_top_track_10/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_top_track_10/DFF_0_/Q -to fpga_top/sb_3__0_/mem_top_track_10/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_top_track_10/DFF_0_/Q -to fpga_top/sb_3__0_/mem_top_track_10/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_top_track_10/DFF_1_/Q -to fpga_top/sb_3__0_/mem_top_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_top_track_10/DFF_1_/Q -to fpga_top/sb_3__0_/mem_top_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_3__0_/mem_top_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_3__0_/mem_top_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_3__0_/mem_top_track_18/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_3__0_/mem_top_track_18/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_top_track_18/DFF_0_/Q -to fpga_top/sb_3__0_/mem_top_track_18/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_top_track_18/DFF_0_/Q -to fpga_top/sb_3__0_/mem_top_track_18/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_top_track_18/DFF_1_/Q -to fpga_top/sb_3__0_/mem_top_track_18/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_top_track_18/DFF_1_/Q -to fpga_top/sb_3__0_/mem_top_track_18/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_top_track_18/DFF_2_/Q -to fpga_top/sb_3__0_/mem_right_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_top_track_18/DFF_2_/Q -to fpga_top/sb_3__0_/mem_right_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_3__0_/mem_right_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_3__0_/mem_right_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_3__0_/mem_right_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_3__0_/mem_right_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_3__0_/mem_right_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_3__0_/mem_right_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_3__0_/mem_right_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_3__0_/mem_right_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_3__0_/mem_right_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_3__0_/mem_right_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_3__0_/mem_right_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_3__0_/mem_right_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_3__0_/mem_right_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_3__0_/mem_right_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_3__0_/mem_right_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_3__0_/mem_right_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_3__0_/mem_right_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_3__0_/mem_right_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_3__0_/mem_right_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_3__0_/mem_right_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_3__0_/mem_right_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_3__0_/mem_right_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_3__0_/mem_left_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_3__0_/mem_left_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_3__0_/mem_left_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_3__0_/mem_left_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_3__0_/mem_left_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_3__0_/mem_left_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_3__0_/mem_left_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_3__0_/mem_left_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_3__0_/mem_left_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_3__0_/mem_left_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_3__0_/mem_left_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_3__0_/mem_left_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_3__0_/mem_left_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_3__0_/mem_left_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_3__0_/mem_left_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_3__0_/mem_left_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_3__0_/mem_left_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_3__0_/mem_left_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_3__0_/mem_left_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_3__0_/mem_left_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_3__0_/mem_left_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_3__0_/mem_left_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_3__0_/mem_left_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_3__0_/mem_left_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_3__0_/mem_bottom_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_3__0_/mem_bottom_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_bottom_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_bottom_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_bottom_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_bottom_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__0_/mem_bottom_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__0_/mem_bottom_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_bottom_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_bottom_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_bottom_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_bottom_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_bottom_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_bottom_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_bottom_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_bottom_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_2/DFF_2_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_3/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_2/DFF_2_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_3/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_3/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_3/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_3/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_3/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_3/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_3/DFF_2_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_4/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_3/DFF_2_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_4/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_4/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_4/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_4/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_4/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_4/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_4/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_4/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_4/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_4/DFF_2_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_5/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_4/DFF_2_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_5/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_5/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_5/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_5/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_5/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_5/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_5/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_5/DFF_2_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_6/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_5/DFF_2_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_6/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_6/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_6/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_6/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_6/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_6/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_6/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_6/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_6/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_6/DFF_2_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_7/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_6/DFF_2_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_7/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_7/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_7/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_7/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_7/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_7/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_7/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_7/DFF_2_/Q -to fpga_top/cby_3__1_/mem_left_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_7/DFF_2_/Q -to fpga_top/cby_3__1_/mem_left_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_3__1_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_3__1_/mem_left_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_3__1_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_3__1_/mem_left_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_3__1_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_3__1_/mem_left_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_3__1_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_3__1_/mem_left_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_3__1_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_3__1_/mem_left_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_3__1_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_3__1_/mem_left_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_3__1_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_3__1_/mem_left_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_3__1_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_3__1_/mem_left_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_3__1_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_3__1_/mem_right_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_3__1_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_3__1_/mem_right_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_3__1_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_3__1_/mem_right_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_3__1_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_3__1_/mem_right_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_3__1_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_3__1_/mem_right_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_3__1_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_3__1_/mem_right_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_3__1_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_3__1_/mem_right_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_3__1_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_3__1_/mem_right_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_3__1_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_3__1_/mem_right_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_3__1_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_3__1_/mem_right_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_3__1_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_3__1_/mem_right_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_3__1_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_3__1_/mem_right_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_3__1_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_3__1_/mem_right_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_3__1_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_3__1_/mem_right_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_3__1_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_3__1_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_4__0_/mem_top_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_4__0_/mem_top_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_4__0_/mem_top_track_2/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_4__0_/mem_top_track_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_top_track_2/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_2/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_top_track_2/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_top_track_2/DFF_1_/Q -to fpga_top/sb_4__0_/mem_top_track_4/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_top_track_2/DFF_1_/Q -to fpga_top/sb_4__0_/mem_top_track_4/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_top_track_4/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_4/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_top_track_4/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_4/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_top_track_4/DFF_1_/Q -to fpga_top/sb_4__0_/mem_top_track_6/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_top_track_4/DFF_1_/Q -to fpga_top/sb_4__0_/mem_top_track_6/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_top_track_6/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_6/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_top_track_6/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_6/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_top_track_6/DFF_1_/Q -to fpga_top/sb_4__0_/mem_top_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_top_track_6/DFF_1_/Q -to fpga_top/sb_4__0_/mem_top_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_4__0_/mem_top_track_10/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_4__0_/mem_top_track_10/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_top_track_10/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_10/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_top_track_10/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_10/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_top_track_10/DFF_1_/Q -to fpga_top/sb_4__0_/mem_top_track_12/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_top_track_10/DFF_1_/Q -to fpga_top/sb_4__0_/mem_top_track_12/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_top_track_12/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_12/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_top_track_12/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_12/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_top_track_12/DFF_1_/Q -to fpga_top/sb_4__0_/mem_top_track_14/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_top_track_12/DFF_1_/Q -to fpga_top/sb_4__0_/mem_top_track_14/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_top_track_14/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_14/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_top_track_14/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_14/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_top_track_14/DFF_1_/Q -to fpga_top/sb_4__0_/mem_top_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_top_track_14/DFF_1_/Q -to fpga_top/sb_4__0_/mem_top_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_4__0_/mem_left_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_4__0_/mem_left_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_4__0_/mem_left_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_4__0_/mem_left_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_4__0_/mem_left_track_3/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_4__0_/mem_left_track_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_left_track_3/DFF_0_/Q -to fpga_top/sb_4__0_/mem_left_track_3/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_left_track_3/DFF_0_/Q -to fpga_top/sb_4__0_/mem_left_track_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_left_track_3/DFF_1_/Q -to fpga_top/sb_4__0_/mem_left_track_5/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_left_track_3/DFF_1_/Q -to fpga_top/sb_4__0_/mem_left_track_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_left_track_5/DFF_0_/Q -to fpga_top/sb_4__0_/mem_left_track_5/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_left_track_5/DFF_0_/Q -to fpga_top/sb_4__0_/mem_left_track_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_left_track_5/DFF_1_/Q -to fpga_top/sb_4__0_/mem_left_track_7/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_left_track_5/DFF_1_/Q -to fpga_top/sb_4__0_/mem_left_track_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_left_track_7/DFF_0_/Q -to fpga_top/sb_4__0_/mem_left_track_7/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_left_track_7/DFF_0_/Q -to fpga_top/sb_4__0_/mem_left_track_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_left_track_7/DFF_1_/Q -to fpga_top/sb_4__0_/mem_left_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_left_track_7/DFF_1_/Q -to fpga_top/sb_4__0_/mem_left_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_4__0_/mem_left_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_4__0_/mem_left_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_4__0_/mem_left_track_11/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_4__0_/mem_left_track_11/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_left_track_11/DFF_0_/Q -to fpga_top/sb_4__0_/mem_left_track_11/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_left_track_11/DFF_0_/Q -to fpga_top/sb_4__0_/mem_left_track_11/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_left_track_11/DFF_1_/Q -to fpga_top/sb_4__0_/mem_left_track_13/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_left_track_11/DFF_1_/Q -to fpga_top/sb_4__0_/mem_left_track_13/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_left_track_13/DFF_0_/Q -to fpga_top/sb_4__0_/mem_left_track_13/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_left_track_13/DFF_0_/Q -to fpga_top/sb_4__0_/mem_left_track_13/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_left_track_13/DFF_1_/Q -to fpga_top/sb_4__0_/mem_left_track_15/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_left_track_13/DFF_1_/Q -to fpga_top/sb_4__0_/mem_left_track_15/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_left_track_15/DFF_0_/Q -to fpga_top/sb_4__0_/mem_left_track_15/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_left_track_15/DFF_0_/Q -to fpga_top/sb_4__0_/mem_left_track_15/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_left_track_15/DFF_1_/Q -to fpga_top/sb_4__0_/mem_left_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_left_track_15/DFF_1_/Q -to fpga_top/sb_4__0_/mem_left_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_4__0_/mem_left_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_4__0_/mem_left_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_left_track_17/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_bottom_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_left_track_17/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_bottom_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_bottom_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_bottom_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_bottom_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_bottom_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__0_/mem_bottom_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__0_/mem_bottom_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_bottom_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_bottom_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_bottom_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_bottom_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_bottom_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_bottom_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_bottom_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_bottom_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_2/DFF_2_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_3/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_2/DFF_2_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_3/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_3/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_3/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_3/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_3/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_3/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_3/DFF_2_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_4/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_3/DFF_2_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_4/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_4/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_4/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_4/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_4/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_4/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_4/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_4/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_4/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_4/DFF_2_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_5/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_4/DFF_2_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_5/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_5/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_5/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_5/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_5/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_5/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_5/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_5/DFF_2_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_6/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_5/DFF_2_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_6/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_6/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_6/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_6/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_6/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_6/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_6/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_6/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_6/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_6/DFF_2_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_7/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_6/DFF_2_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_7/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_7/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_7/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_7/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_7/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_7/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_7/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_7/DFF_2_/Q -to fpga_top/cby_4__1_/mem_left_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_7/DFF_2_/Q -to fpga_top/cby_4__1_/mem_left_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_4__1_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_4__1_/mem_left_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_4__1_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_4__1_/mem_left_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_4__1_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_4__1_/mem_left_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_4__1_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_4__1_/mem_left_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_4__1_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_4__1_/mem_left_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_4__1_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_4__1_/mem_left_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_4__1_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_4__1_/mem_left_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_4__1_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_4__1_/mem_left_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_4__1_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_4__1_/mem_left_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_4__1_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_4__1_/mem_left_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_4__1_/mem_left_ipin_1/DFF_2_/Q -to fpga_top/cby_4__1_/mem_left_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_4__1_/mem_left_ipin_1/DFF_2_/Q -to fpga_top/cby_4__1_/mem_left_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_4__1_/mem_left_ipin_2/DFF_0_/Q -to fpga_top/cby_4__1_/mem_left_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_4__1_/mem_left_ipin_2/DFF_0_/Q -to fpga_top/cby_4__1_/mem_left_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_4__1_/mem_left_ipin_2/DFF_1_/Q -to fpga_top/cby_4__1_/mem_left_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_4__1_/mem_left_ipin_2/DFF_1_/Q -to fpga_top/cby_4__1_/mem_left_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_4__1_/mem_left_ipin_2/DFF_2_/Q -to fpga_top/cby_4__1_/mem_left_ipin_3/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_4__1_/mem_left_ipin_2/DFF_2_/Q -to fpga_top/cby_4__1_/mem_left_ipin_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_4__1_/mem_left_ipin_3/DFF_0_/Q -to fpga_top/cby_4__1_/mem_left_ipin_3/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_4__1_/mem_left_ipin_3/DFF_0_/Q -to fpga_top/cby_4__1_/mem_left_ipin_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_4__1_/mem_left_ipin_3/DFF_1_/Q -to fpga_top/cby_4__1_/mem_left_ipin_3/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_4__1_/mem_left_ipin_3/DFF_1_/Q -to fpga_top/cby_4__1_/mem_left_ipin_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_4__1_/mem_left_ipin_3/DFF_2_/Q -to fpga_top/cby_4__1_/mem_left_ipin_4/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_4__1_/mem_left_ipin_3/DFF_2_/Q -to fpga_top/cby_4__1_/mem_left_ipin_4/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_4__1_/mem_left_ipin_4/DFF_0_/Q -to fpga_top/cby_4__1_/mem_left_ipin_4/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_4__1_/mem_left_ipin_4/DFF_0_/Q -to fpga_top/cby_4__1_/mem_left_ipin_4/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_4__1_/mem_left_ipin_4/DFF_1_/Q -to fpga_top/cby_4__1_/mem_left_ipin_4/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_4__1_/mem_left_ipin_4/DFF_1_/Q -to fpga_top/cby_4__1_/mem_left_ipin_4/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_4__1_/mem_left_ipin_4/DFF_2_/Q -to fpga_top/cby_4__1_/mem_left_ipin_5/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_4__1_/mem_left_ipin_4/DFF_2_/Q -to fpga_top/cby_4__1_/mem_left_ipin_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_4__1_/mem_left_ipin_5/DFF_0_/Q -to fpga_top/cby_4__1_/mem_left_ipin_5/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_4__1_/mem_left_ipin_5/DFF_0_/Q -to fpga_top/cby_4__1_/mem_left_ipin_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_4__1_/mem_left_ipin_5/DFF_1_/Q -to fpga_top/cby_4__1_/mem_left_ipin_5/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_4__1_/mem_left_ipin_5/DFF_1_/Q -to fpga_top/cby_4__1_/mem_left_ipin_5/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_4__1_/mem_left_ipin_5/DFF_2_/Q -to fpga_top/cby_4__1_/mem_left_ipin_6/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_4__1_/mem_left_ipin_5/DFF_2_/Q -to fpga_top/cby_4__1_/mem_left_ipin_6/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_4__1_/mem_left_ipin_6/DFF_0_/Q -to fpga_top/cby_4__1_/mem_left_ipin_6/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_4__1_/mem_left_ipin_6/DFF_0_/Q -to fpga_top/cby_4__1_/mem_left_ipin_6/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_4__1_/mem_left_ipin_6/DFF_1_/Q -to fpga_top/cby_4__1_/mem_left_ipin_6/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_4__1_/mem_left_ipin_6/DFF_1_/Q -to fpga_top/cby_4__1_/mem_left_ipin_6/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_4__1_/mem_left_ipin_6/DFF_2_/Q -to fpga_top/cby_4__1_/mem_left_ipin_7/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_4__1_/mem_left_ipin_6/DFF_2_/Q -to fpga_top/cby_4__1_/mem_left_ipin_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_4__1_/mem_left_ipin_7/DFF_0_/Q -to fpga_top/cby_4__1_/mem_left_ipin_7/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_4__1_/mem_left_ipin_7/DFF_0_/Q -to fpga_top/cby_4__1_/mem_left_ipin_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_4__1_/mem_left_ipin_7/DFF_1_/Q -to fpga_top/cby_4__1_/mem_left_ipin_7/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_4__1_/mem_left_ipin_7/DFF_1_/Q -to fpga_top/cby_4__1_/mem_left_ipin_7/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_4__1_/mem_left_ipin_7/DFF_2_/Q -to fpga_top/cby_4__1_/mem_right_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_4__1_/mem_left_ipin_7/DFF_2_/Q -to fpga_top/cby_4__1_/mem_right_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_4__1_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_4__1_/mem_right_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_4__1_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_4__1_/mem_right_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_4__1_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_4__1_/mem_right_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_4__1_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_4__1_/mem_right_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_4__1_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_4__1_/mem_right_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_4__1_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_4__1_/mem_right_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_4__1_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_4__1_/mem_right_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_4__1_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_4__1_/mem_right_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_4__1_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_4__1_/mem_right_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_4__1_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_4__1_/mem_right_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_4__1_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_4__1_/mem_right_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_4__1_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_4__1_/mem_right_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_4__1_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_4__1_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_4__1_/mem_top_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_4__1_/mem_top_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_4__1_/mem_top_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_4__1_/mem_top_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_4__1_/mem_top_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_4__1_/mem_top_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_4__1_/mem_top_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_4__1_/mem_top_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_4__1_/mem_top_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_4__1_/mem_top_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_4__1_/mem_top_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_4__1_/mem_top_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_4__1_/mem_top_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_4__1_/mem_top_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_4__1_/mem_top_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_4__1_/mem_top_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_4__1_/mem_top_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_4__1_/mem_top_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_4__1_/mem_top_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_4__1_/mem_top_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_4__1_/mem_top_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_4__1_/mem_top_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_4__1_/mem_top_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_4__1_/mem_top_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_4__1_/mem_bottom_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_4__1_/mem_bottom_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_4__1_/mem_bottom_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_4__1_/mem_bottom_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_4__1_/mem_bottom_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_4__1_/mem_bottom_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_4__1_/mem_bottom_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_4__1_/mem_bottom_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_4__1_/mem_bottom_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_4__1_/mem_bottom_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_4__1_/mem_bottom_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_4__1_/mem_bottom_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_4__1_/mem_bottom_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_4__1_/mem_bottom_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_4__1_/mem_bottom_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_4__1_/mem_bottom_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_4__1_/mem_bottom_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_4__1_/mem_bottom_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_4__1_/mem_bottom_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_4__1_/mem_bottom_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_4__1_/mem_bottom_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_4__1_/mem_bottom_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_4__1_/mem_bottom_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_4__1_/mem_bottom_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_4__1_/mem_left_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_4__1_/mem_left_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_4__1_/mem_left_track_3/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_4__1_/mem_left_track_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_left_track_3/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_3/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_left_track_3/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_left_track_3/DFF_1_/Q -to fpga_top/sb_4__1_/mem_left_track_5/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_left_track_3/DFF_1_/Q -to fpga_top/sb_4__1_/mem_left_track_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_left_track_5/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_5/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_left_track_5/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_left_track_5/DFF_1_/Q -to fpga_top/sb_4__1_/mem_left_track_7/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_left_track_5/DFF_1_/Q -to fpga_top/sb_4__1_/mem_left_track_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_left_track_7/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_7/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_left_track_7/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_left_track_7/DFF_1_/Q -to fpga_top/sb_4__1_/mem_left_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_left_track_7/DFF_1_/Q -to fpga_top/sb_4__1_/mem_left_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_4__1_/mem_left_track_11/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_4__1_/mem_left_track_11/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_left_track_11/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_11/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_left_track_11/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_11/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_left_track_11/DFF_1_/Q -to fpga_top/sb_4__1_/mem_left_track_13/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_left_track_11/DFF_1_/Q -to fpga_top/sb_4__1_/mem_left_track_13/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_left_track_13/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_13/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_left_track_13/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_13/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_left_track_13/DFF_1_/Q -to fpga_top/sb_4__1_/mem_left_track_15/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_left_track_13/DFF_1_/Q -to fpga_top/sb_4__1_/mem_left_track_15/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_left_track_15/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_15/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_left_track_15/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_15/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_left_track_15/DFF_1_/Q -to fpga_top/sb_4__1_/mem_left_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_left_track_15/DFF_1_/Q -to fpga_top/sb_4__1_/mem_left_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_4__1_/mem_left_track_19/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_4__1_/mem_left_track_19/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_left_track_19/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_19/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_left_track_19/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_19/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_left_track_19/DFF_1_/Q -to fpga_top/cbx_4__1_/mem_bottom_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_left_track_19/DFF_1_/Q -to fpga_top/cbx_4__1_/mem_bottom_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__1_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__1_/mem_bottom_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__1_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__1_/mem_bottom_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__1_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__1_/mem_bottom_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__1_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__1_/mem_bottom_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__1_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__1_/mem_bottom_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__1_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__1_/mem_bottom_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__1_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__1_/mem_bottom_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__1_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__1_/mem_bottom_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__1_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__1_/mem_bottom_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__1_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__1_/mem_bottom_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__1_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__1_/mem_bottom_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__1_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__1_/mem_bottom_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__1_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_4__1_/mem_bottom_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__1_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_4__1_/mem_bottom_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__1_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_4__1_/mem_top_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__1_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_4__1_/mem_top_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__1_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__1_/mem_top_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__1_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__1_/mem_top_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__1_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__1_/mem_top_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__1_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__1_/mem_top_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__1_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__1_/mem_top_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__1_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__1_/mem_top_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__1_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__1_/mem_top_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__1_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__1_/mem_top_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__1_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__1_/mem_top_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__1_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__1_/mem_top_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__1_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_4__1_/mem_top_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__1_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_4__1_/mem_top_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__1_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__1_/mem_top_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__1_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__1_/mem_top_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__1_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_4__2_/mem_left_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__1_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_4__2_/mem_left_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_4__2_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_4__2_/mem_left_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_4__2_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_4__2_/mem_left_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_4__2_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_4__2_/mem_left_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_4__2_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_4__2_/mem_left_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_4__2_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_4__2_/mem_left_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_4__2_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_4__2_/mem_left_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_4__2_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_4__2_/mem_left_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_4__2_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_4__2_/mem_left_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_4__2_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_4__2_/mem_left_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_4__2_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_4__2_/mem_left_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_4__2_/mem_left_ipin_1/DFF_2_/Q -to fpga_top/cby_4__2_/mem_left_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_4__2_/mem_left_ipin_1/DFF_2_/Q -to fpga_top/cby_4__2_/mem_left_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_4__2_/mem_left_ipin_2/DFF_0_/Q -to fpga_top/cby_4__2_/mem_left_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_4__2_/mem_left_ipin_2/DFF_0_/Q -to fpga_top/cby_4__2_/mem_left_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_4__2_/mem_left_ipin_2/DFF_1_/Q -to fpga_top/cby_4__2_/mem_left_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_4__2_/mem_left_ipin_2/DFF_1_/Q -to fpga_top/cby_4__2_/mem_left_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_4__2_/mem_left_ipin_2/DFF_2_/Q -to fpga_top/cby_4__2_/mem_left_ipin_3/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_4__2_/mem_left_ipin_2/DFF_2_/Q -to fpga_top/cby_4__2_/mem_left_ipin_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_4__2_/mem_left_ipin_3/DFF_0_/Q -to fpga_top/cby_4__2_/mem_left_ipin_3/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_4__2_/mem_left_ipin_3/DFF_0_/Q -to fpga_top/cby_4__2_/mem_left_ipin_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_4__2_/mem_left_ipin_3/DFF_1_/Q -to fpga_top/cby_4__2_/mem_left_ipin_3/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_4__2_/mem_left_ipin_3/DFF_1_/Q -to fpga_top/cby_4__2_/mem_left_ipin_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_4__2_/mem_left_ipin_3/DFF_2_/Q -to fpga_top/cby_4__2_/mem_left_ipin_4/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_4__2_/mem_left_ipin_3/DFF_2_/Q -to fpga_top/cby_4__2_/mem_left_ipin_4/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_4__2_/mem_left_ipin_4/DFF_0_/Q -to fpga_top/cby_4__2_/mem_left_ipin_4/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_4__2_/mem_left_ipin_4/DFF_0_/Q -to fpga_top/cby_4__2_/mem_left_ipin_4/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_4__2_/mem_left_ipin_4/DFF_1_/Q -to fpga_top/cby_4__2_/mem_left_ipin_4/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_4__2_/mem_left_ipin_4/DFF_1_/Q -to fpga_top/cby_4__2_/mem_left_ipin_4/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_4__2_/mem_left_ipin_4/DFF_2_/Q -to fpga_top/cby_4__2_/mem_left_ipin_5/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_4__2_/mem_left_ipin_4/DFF_2_/Q -to fpga_top/cby_4__2_/mem_left_ipin_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_4__2_/mem_left_ipin_5/DFF_0_/Q -to fpga_top/cby_4__2_/mem_left_ipin_5/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_4__2_/mem_left_ipin_5/DFF_0_/Q -to fpga_top/cby_4__2_/mem_left_ipin_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_4__2_/mem_left_ipin_5/DFF_1_/Q -to fpga_top/cby_4__2_/mem_left_ipin_5/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_4__2_/mem_left_ipin_5/DFF_1_/Q -to fpga_top/cby_4__2_/mem_left_ipin_5/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_4__2_/mem_left_ipin_5/DFF_2_/Q -to fpga_top/cby_4__2_/mem_left_ipin_6/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_4__2_/mem_left_ipin_5/DFF_2_/Q -to fpga_top/cby_4__2_/mem_left_ipin_6/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_4__2_/mem_left_ipin_6/DFF_0_/Q -to fpga_top/cby_4__2_/mem_left_ipin_6/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_4__2_/mem_left_ipin_6/DFF_0_/Q -to fpga_top/cby_4__2_/mem_left_ipin_6/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_4__2_/mem_left_ipin_6/DFF_1_/Q -to fpga_top/cby_4__2_/mem_left_ipin_6/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_4__2_/mem_left_ipin_6/DFF_1_/Q -to fpga_top/cby_4__2_/mem_left_ipin_6/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_4__2_/mem_left_ipin_6/DFF_2_/Q -to fpga_top/cby_4__2_/mem_left_ipin_7/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_4__2_/mem_left_ipin_6/DFF_2_/Q -to fpga_top/cby_4__2_/mem_left_ipin_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_4__2_/mem_left_ipin_7/DFF_0_/Q -to fpga_top/cby_4__2_/mem_left_ipin_7/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_4__2_/mem_left_ipin_7/DFF_0_/Q -to fpga_top/cby_4__2_/mem_left_ipin_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_4__2_/mem_left_ipin_7/DFF_1_/Q -to fpga_top/cby_4__2_/mem_left_ipin_7/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_4__2_/mem_left_ipin_7/DFF_1_/Q -to fpga_top/cby_4__2_/mem_left_ipin_7/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_4__2_/mem_left_ipin_7/DFF_2_/Q -to fpga_top/cby_4__2_/mem_right_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_4__2_/mem_left_ipin_7/DFF_2_/Q -to fpga_top/cby_4__2_/mem_right_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_4__2_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_4__2_/mem_right_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_4__2_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_4__2_/mem_right_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_4__2_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_4__2_/mem_right_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_4__2_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_4__2_/mem_right_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_4__2_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_4__2_/mem_right_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_4__2_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_4__2_/mem_right_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_4__2_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_4__2_/mem_right_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_4__2_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_4__2_/mem_right_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_4__2_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_4__2_/mem_right_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_4__2_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_4__2_/mem_right_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_4__2_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_4__2_/mem_right_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_4__2_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_4__2_/mem_right_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_4__2_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_4__2_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_3__1_/mem_top_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_3__1_/mem_top_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_3__1_/mem_top_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_3__1_/mem_top_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_3__1_/mem_top_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_3__1_/mem_top_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_3__1_/mem_top_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_3__1_/mem_top_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_3__1_/mem_top_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_3__1_/mem_top_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_3__1_/mem_top_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_3__1_/mem_top_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_3__1_/mem_top_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_3__1_/mem_top_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_3__1_/mem_top_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_3__1_/mem_top_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_3__1_/mem_top_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_3__1_/mem_top_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_3__1_/mem_top_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_3__1_/mem_top_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_3__1_/mem_top_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_3__1_/mem_top_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_3__1_/mem_top_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_3__1_/mem_top_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_3__1_/mem_right_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_3__1_/mem_right_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_3__1_/mem_right_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_3__1_/mem_right_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_3__1_/mem_right_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_3__1_/mem_right_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_3__1_/mem_right_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_3__1_/mem_right_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_3__1_/mem_right_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_3__1_/mem_right_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_3__1_/mem_right_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_3__1_/mem_right_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_3__1_/mem_right_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_3__1_/mem_right_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_3__1_/mem_right_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_3__1_/mem_right_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_3__1_/mem_right_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_3__1_/mem_right_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_3__1_/mem_right_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_3__1_/mem_right_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_3__1_/mem_right_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_3__1_/mem_right_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_3__1_/mem_right_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_3__1_/mem_right_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_3__1_/mem_bottom_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_3__1_/mem_bottom_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_3__1_/mem_bottom_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_3__1_/mem_bottom_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_3__1_/mem_bottom_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_3__1_/mem_bottom_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_3__1_/mem_bottom_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_3__1_/mem_bottom_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_3__1_/mem_bottom_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_3__1_/mem_bottom_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_3__1_/mem_bottom_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_3__1_/mem_bottom_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_3__1_/mem_bottom_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_3__1_/mem_bottom_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_3__1_/mem_bottom_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_3__1_/mem_bottom_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_3__1_/mem_bottom_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_3__1_/mem_bottom_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_3__1_/mem_bottom_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_3__1_/mem_bottom_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_3__1_/mem_bottom_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_3__1_/mem_bottom_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_3__1_/mem_bottom_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_3__1_/mem_bottom_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_3__1_/mem_left_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_3__1_/mem_left_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_3__1_/mem_left_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_3__1_/mem_left_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_3__1_/mem_left_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_3__1_/mem_left_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_3__1_/mem_left_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_3__1_/mem_left_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_3__1_/mem_left_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_3__1_/mem_left_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_3__1_/mem_left_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_3__1_/mem_left_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_3__1_/mem_left_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_3__1_/mem_left_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_3__1_/mem_left_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_3__1_/mem_left_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_3__1_/mem_left_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_3__1_/mem_left_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_3__1_/mem_left_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_3__1_/mem_left_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_3__1_/mem_left_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_3__1_/mem_left_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_3__1_/mem_left_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_3__1_/mem_left_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_3__1_/mem_bottom_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_3__1_/mem_bottom_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__1_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__1_/mem_bottom_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__1_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__1_/mem_bottom_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__1_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__1_/mem_bottom_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__1_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__1_/mem_bottom_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__1_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__1_/mem_bottom_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__1_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__1_/mem_bottom_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__1_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__1_/mem_bottom_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__1_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__1_/mem_bottom_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__1_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__1_/mem_bottom_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__1_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__1_/mem_bottom_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__1_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__1_/mem_bottom_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__1_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__1_/mem_bottom_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__1_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_3__1_/mem_bottom_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__1_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_3__1_/mem_bottom_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__1_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_3__1_/mem_top_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__1_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_3__1_/mem_top_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__1_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__1_/mem_top_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__1_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__1_/mem_top_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__1_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__1_/mem_top_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__1_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__1_/mem_top_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__1_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__1_/mem_top_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__1_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__1_/mem_top_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__1_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__1_/mem_top_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__1_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__1_/mem_top_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__1_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__1_/mem_top_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__1_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__1_/mem_top_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__1_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_3__1_/mem_top_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__1_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_3__1_/mem_top_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__1_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__1_/mem_top_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__1_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__1_/mem_top_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__1_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_3__2_/mem_left_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__1_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_3__2_/mem_left_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_3__2_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_3__2_/mem_left_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_3__2_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_3__2_/mem_left_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_3__2_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_3__2_/mem_left_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_3__2_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_3__2_/mem_left_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_3__2_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_3__2_/mem_left_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_3__2_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_3__2_/mem_left_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_3__2_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_3__2_/mem_left_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_3__2_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_3__2_/mem_left_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_3__2_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_3__2_/mem_right_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_3__2_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_3__2_/mem_right_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_3__2_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_3__2_/mem_right_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_3__2_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_3__2_/mem_right_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_3__2_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_3__2_/mem_right_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_3__2_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_3__2_/mem_right_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_3__2_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_3__2_/mem_right_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_3__2_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_3__2_/mem_right_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_3__2_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_3__2_/mem_right_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_3__2_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_3__2_/mem_right_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_3__2_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_3__2_/mem_right_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_3__2_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_3__2_/mem_right_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_3__2_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_3__2_/mem_right_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_3__2_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_3__2_/mem_right_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_3__2_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_3__2_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_2__1_/mem_right_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_2__1_/mem_right_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_2__1_/mem_right_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_2__1_/mem_right_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_2__1_/mem_right_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_2__1_/mem_right_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_2__1_/mem_right_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_2__1_/mem_right_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_2__1_/mem_right_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_2__1_/mem_right_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_2__1_/mem_right_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_2__1_/mem_right_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_2__1_/mem_right_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_2__1_/mem_right_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_2__1_/mem_right_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_2__1_/mem_right_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_2__1_/mem_right_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_2__1_/mem_right_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_2__1_/mem_right_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_2__1_/mem_right_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_2__1_/mem_right_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_2__1_/mem_right_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_2__1_/mem_right_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_2__1_/mem_right_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_2__1_/mem_left_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_2__1_/mem_left_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_2__1_/mem_left_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_2__1_/mem_left_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_2__1_/mem_left_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_2__1_/mem_left_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_2__1_/mem_left_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_2__1_/mem_left_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_2__1_/mem_left_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_2__1_/mem_left_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_2__1_/mem_left_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_2__1_/mem_left_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_2__1_/mem_left_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_2__1_/mem_left_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_2__1_/mem_left_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_2__1_/mem_left_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_2__1_/mem_left_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_2__1_/mem_left_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_2__1_/mem_left_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_2__1_/mem_left_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_2__1_/mem_left_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_2__1_/mem_left_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_2__1_/mem_left_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_2__1_/mem_left_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_2__1_/mem_top_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_2__1_/mem_top_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__1_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__1_/mem_top_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__1_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__1_/mem_top_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__1_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__1_/mem_top_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__1_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__1_/mem_top_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__1_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__1_/mem_top_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__1_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__1_/mem_top_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__1_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__1_/mem_top_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__1_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__1_/mem_top_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__1_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__1_/mem_top_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__1_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__1_/mem_top_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__1_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_2__1_/mem_top_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__1_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_2__1_/mem_top_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__1_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__1_/mem_top_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__1_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__1_/mem_top_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__1_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_2__2_/mem_left_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__1_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_2__2_/mem_left_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_2__2_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_2__2_/mem_left_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_2__2_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_2__2_/mem_left_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_2__2_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_2__2_/mem_left_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_2__2_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_2__2_/mem_left_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_2__2_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_2__2_/mem_left_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_2__2_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_2__2_/mem_left_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_2__2_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_2__2_/mem_left_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_2__2_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_2__2_/mem_left_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_2__2_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_2__2_/mem_right_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_2__2_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_2__2_/mem_right_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_2__2_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_2__2_/mem_right_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_2__2_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_2__2_/mem_right_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_2__2_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_2__2_/mem_right_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_2__2_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_2__2_/mem_right_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_2__2_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_2__2_/mem_right_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_2__2_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_2__2_/mem_right_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_2__2_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_2__2_/mem_right_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_2__2_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_2__2_/mem_right_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_2__2_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_2__2_/mem_right_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_2__2_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_2__2_/mem_right_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_2__2_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_2__2_/mem_right_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_2__2_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_2__2_/mem_right_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_2__2_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_2__2_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_1__2_/mem_left_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_1__2_/mem_left_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_1__2_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_1__2_/mem_left_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_1__2_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_1__2_/mem_left_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_1__2_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_1__2_/mem_left_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_1__2_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_1__2_/mem_left_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_1__2_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_1__2_/mem_left_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_1__2_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_1__2_/mem_left_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_1__2_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_1__2_/mem_left_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_1__2_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_1__2_/mem_left_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_1__2_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_1__2_/mem_right_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_1__2_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_1__2_/mem_right_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_1__2_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_1__2_/mem_right_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_1__2_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_1__2_/mem_right_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_1__2_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_1__2_/mem_right_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_1__2_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_1__2_/mem_right_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_1__2_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_1__2_/mem_right_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_1__2_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_1__2_/mem_right_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_1__2_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_1__2_/mem_right_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_1__2_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_1__2_/mem_right_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_1__2_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_1__2_/mem_right_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_1__2_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_1__2_/mem_right_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_1__2_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_1__2_/mem_right_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_1__2_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_1__2_/mem_right_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_1__2_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_1__2_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_1__2_/mem_top_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_1__2_/mem_top_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_1__2_/mem_top_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_1__2_/mem_top_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_1__2_/mem_top_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_1__2_/mem_top_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_1__2_/mem_top_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_1__2_/mem_top_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_1__2_/mem_top_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_1__2_/mem_top_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_1__2_/mem_top_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_1__2_/mem_top_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_1__2_/mem_top_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_1__2_/mem_top_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_1__2_/mem_top_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_1__2_/mem_top_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_1__2_/mem_top_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_1__2_/mem_top_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_1__2_/mem_top_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_1__2_/mem_top_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_1__2_/mem_top_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_1__2_/mem_top_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_1__2_/mem_top_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_1__2_/mem_top_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_1__2_/mem_right_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_1__2_/mem_right_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_1__2_/mem_right_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_1__2_/mem_right_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_1__2_/mem_right_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_1__2_/mem_right_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_1__2_/mem_right_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_1__2_/mem_right_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_1__2_/mem_bottom_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_1__2_/mem_bottom_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_1__2_/mem_bottom_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_1__2_/mem_bottom_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_1__2_/mem_bottom_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_1__2_/mem_bottom_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_1__2_/mem_bottom_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_1__2_/mem_bottom_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_1__2_/mem_bottom_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_1__2_/mem_bottom_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_1__2_/mem_bottom_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_1__2_/mem_bottom_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_1__2_/mem_bottom_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_1__2_/mem_bottom_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_1__2_/mem_bottom_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_1__2_/mem_bottom_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_1__2_/mem_bottom_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_1__2_/mem_bottom_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_1__2_/mem_bottom_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_1__2_/mem_bottom_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_1__2_/mem_bottom_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_1__2_/mem_bottom_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_1__2_/mem_bottom_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_1__2_/mem_bottom_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_1__2_/mem_left_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_1__2_/mem_left_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_1__2_/mem_left_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_1__2_/mem_left_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_1__2_/mem_left_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_1__2_/mem_left_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_1__2_/mem_left_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_1__2_/mem_left_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_1__2_/mem_top_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_1__2_/mem_top_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__2_/mem_top_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__2_/mem_top_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__2_/mem_top_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__2_/mem_top_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__2_/mem_top_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__2_/mem_top_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__2_/mem_top_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__2_/mem_top_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__2_/mem_top_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__2_/mem_top_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_1__2_/mem_top_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_1__2_/mem_top_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__2_/mem_top_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__2_/mem_top_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_1__3_/mem_left_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_1__3_/mem_left_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_1__3_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_1__3_/mem_left_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_1__3_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_1__3_/mem_left_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_1__3_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_1__3_/mem_left_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_1__3_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_1__3_/mem_left_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_1__3_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_1__3_/mem_left_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_1__3_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_1__3_/mem_left_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_1__3_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_1__3_/mem_left_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_1__3_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_1__3_/mem_left_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_1__3_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_1__3_/mem_right_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_1__3_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_1__3_/mem_right_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_1__3_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_1__3_/mem_right_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_1__3_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_1__3_/mem_right_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_1__3_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_1__3_/mem_right_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_1__3_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_1__3_/mem_right_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_1__3_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_1__3_/mem_right_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_1__3_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_1__3_/mem_right_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_1__3_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_1__3_/mem_right_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_1__3_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_1__3_/mem_right_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_1__3_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_1__3_/mem_right_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_1__3_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_1__3_/mem_right_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_1__3_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_1__3_/mem_right_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_1__3_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_1__3_/mem_right_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_1__3_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_1__3_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_2__2_/mem_top_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_2__2_/mem_top_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_2__2_/mem_top_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_2__2_/mem_top_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_2__2_/mem_top_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_2__2_/mem_top_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_2__2_/mem_top_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_2__2_/mem_top_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_2__2_/mem_top_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_2__2_/mem_top_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_2__2_/mem_top_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_2__2_/mem_top_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_2__2_/mem_top_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_2__2_/mem_top_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_2__2_/mem_top_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_2__2_/mem_top_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_2__2_/mem_top_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_2__2_/mem_top_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_2__2_/mem_top_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_2__2_/mem_top_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_2__2_/mem_top_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_2__2_/mem_top_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_2__2_/mem_top_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_2__2_/mem_top_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_2__2_/mem_right_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_2__2_/mem_right_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_2__2_/mem_right_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_2__2_/mem_right_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_2__2_/mem_right_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_2__2_/mem_right_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_2__2_/mem_right_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_2__2_/mem_right_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_2__2_/mem_right_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_2__2_/mem_right_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_2__2_/mem_right_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_2__2_/mem_right_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_2__2_/mem_right_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_2__2_/mem_right_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_2__2_/mem_right_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_2__2_/mem_right_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_2__2_/mem_right_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_2__2_/mem_right_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_2__2_/mem_right_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_2__2_/mem_right_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_2__2_/mem_right_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_2__2_/mem_right_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_2__2_/mem_right_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_2__2_/mem_right_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_2__2_/mem_bottom_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_2__2_/mem_bottom_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_2__2_/mem_bottom_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_2__2_/mem_bottom_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_2__2_/mem_bottom_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_2__2_/mem_bottom_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_2__2_/mem_bottom_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_2__2_/mem_bottom_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_2__2_/mem_bottom_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_2__2_/mem_bottom_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_2__2_/mem_bottom_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_2__2_/mem_bottom_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_2__2_/mem_bottom_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_2__2_/mem_bottom_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_2__2_/mem_bottom_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_2__2_/mem_bottom_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_2__2_/mem_bottom_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_2__2_/mem_bottom_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_2__2_/mem_left_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_2__2_/mem_left_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_2__2_/mem_left_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_2__2_/mem_left_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_2__2_/mem_left_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_2__2_/mem_left_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_2__2_/mem_left_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_2__2_/mem_left_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_2__2_/mem_left_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_2__2_/mem_left_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_2__2_/mem_left_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_2__2_/mem_left_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_2__2_/mem_left_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_2__2_/mem_left_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_2__2_/mem_left_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_2__2_/mem_left_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_2__2_/mem_left_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_2__2_/mem_left_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_2__2_/mem_left_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_2__2_/mem_left_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_2__2_/mem_left_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_2__2_/mem_left_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_2__2_/mem_left_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_2__2_/mem_left_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_2__2_/mem_top_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_2__2_/mem_top_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__2_/mem_top_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__2_/mem_top_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__2_/mem_top_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__2_/mem_top_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__2_/mem_top_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__2_/mem_top_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__2_/mem_top_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__2_/mem_top_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__2_/mem_top_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__2_/mem_top_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_2__2_/mem_top_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_2__2_/mem_top_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__2_/mem_top_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__2_/mem_top_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_2__3_/mem_left_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_2__3_/mem_left_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_2__3_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_2__3_/mem_left_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_2__3_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_2__3_/mem_left_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_2__3_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_2__3_/mem_left_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_2__3_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_2__3_/mem_left_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_2__3_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_2__3_/mem_left_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_2__3_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_2__3_/mem_left_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_2__3_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_2__3_/mem_left_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_2__3_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_2__3_/mem_left_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_2__3_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_2__3_/mem_right_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_2__3_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_2__3_/mem_right_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_2__3_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_2__3_/mem_right_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_2__3_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_2__3_/mem_right_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_2__3_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_2__3_/mem_right_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_2__3_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_2__3_/mem_right_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_2__3_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_2__3_/mem_right_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_2__3_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_2__3_/mem_right_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_2__3_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_2__3_/mem_right_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_2__3_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_2__3_/mem_right_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_2__3_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_2__3_/mem_right_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_2__3_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_2__3_/mem_right_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_2__3_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_2__3_/mem_right_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_2__3_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_2__3_/mem_right_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_2__3_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_2__3_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_3__2_/mem_top_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_3__2_/mem_top_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_3__2_/mem_top_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_3__2_/mem_top_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_3__2_/mem_top_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_3__2_/mem_top_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_3__2_/mem_top_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_3__2_/mem_top_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_3__2_/mem_top_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_3__2_/mem_top_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_3__2_/mem_top_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_3__2_/mem_top_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_3__2_/mem_top_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_3__2_/mem_top_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_3__2_/mem_top_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_3__2_/mem_top_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_3__2_/mem_top_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_3__2_/mem_top_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_3__2_/mem_top_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_3__2_/mem_top_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_3__2_/mem_top_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_3__2_/mem_top_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_3__2_/mem_top_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_3__2_/mem_top_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_3__2_/mem_right_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_3__2_/mem_right_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_3__2_/mem_right_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_3__2_/mem_right_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_3__2_/mem_right_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_3__2_/mem_right_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_3__2_/mem_right_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_3__2_/mem_right_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_3__2_/mem_right_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_3__2_/mem_right_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_3__2_/mem_right_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_3__2_/mem_right_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_3__2_/mem_right_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_3__2_/mem_right_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_3__2_/mem_right_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_3__2_/mem_right_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_3__2_/mem_right_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_3__2_/mem_right_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_3__2_/mem_right_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_3__2_/mem_right_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_3__2_/mem_right_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_3__2_/mem_right_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_3__2_/mem_right_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_3__2_/mem_right_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_3__2_/mem_bottom_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_3__2_/mem_bottom_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_3__2_/mem_bottom_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_3__2_/mem_bottom_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_3__2_/mem_bottom_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_3__2_/mem_bottom_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_3__2_/mem_bottom_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_3__2_/mem_bottom_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_3__2_/mem_bottom_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_3__2_/mem_bottom_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_3__2_/mem_bottom_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_3__2_/mem_bottom_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_3__2_/mem_bottom_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_3__2_/mem_bottom_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_3__2_/mem_bottom_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_3__2_/mem_bottom_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_3__2_/mem_bottom_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_3__2_/mem_bottom_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_3__2_/mem_bottom_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_3__2_/mem_bottom_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_3__2_/mem_bottom_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_3__2_/mem_bottom_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_3__2_/mem_bottom_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_3__2_/mem_bottom_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_3__2_/mem_left_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_3__2_/mem_left_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_3__2_/mem_left_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_3__2_/mem_left_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_3__2_/mem_left_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_3__2_/mem_left_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_3__2_/mem_left_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_3__2_/mem_left_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_3__2_/mem_left_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_3__2_/mem_left_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_3__2_/mem_left_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_3__2_/mem_left_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_3__2_/mem_left_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_3__2_/mem_left_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_3__2_/mem_left_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_3__2_/mem_left_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_3__2_/mem_left_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_3__2_/mem_left_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_3__2_/mem_left_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_3__2_/mem_left_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_3__2_/mem_left_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_3__2_/mem_left_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_3__2_/mem_left_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_3__2_/mem_left_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_3__2_/mem_bottom_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_3__2_/mem_bottom_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__2_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__2_/mem_bottom_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__2_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__2_/mem_bottom_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__2_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__2_/mem_bottom_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__2_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__2_/mem_bottom_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__2_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__2_/mem_bottom_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__2_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__2_/mem_bottom_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__2_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__2_/mem_bottom_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__2_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__2_/mem_bottom_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__2_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__2_/mem_bottom_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__2_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__2_/mem_bottom_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__2_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__2_/mem_bottom_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__2_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__2_/mem_bottom_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__2_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_3__2_/mem_bottom_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__2_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_3__2_/mem_bottom_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__2_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_3__2_/mem_top_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__2_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_3__2_/mem_top_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__2_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__2_/mem_top_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__2_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__2_/mem_top_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__2_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__2_/mem_top_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__2_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__2_/mem_top_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__2_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__2_/mem_top_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__2_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__2_/mem_top_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__2_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__2_/mem_top_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__2_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__2_/mem_top_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__2_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__2_/mem_top_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__2_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__2_/mem_top_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__2_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_3__2_/mem_top_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__2_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_3__2_/mem_top_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__2_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__2_/mem_top_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__2_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__2_/mem_top_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__2_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_3__3_/mem_left_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__2_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_3__3_/mem_left_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_3__3_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_3__3_/mem_left_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_3__3_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_3__3_/mem_left_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_3__3_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_3__3_/mem_left_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_3__3_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_3__3_/mem_left_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_3__3_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_3__3_/mem_left_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_3__3_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_3__3_/mem_left_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_3__3_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_3__3_/mem_left_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_3__3_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_3__3_/mem_left_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_3__3_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_3__3_/mem_right_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_3__3_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_3__3_/mem_right_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_3__3_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_3__3_/mem_right_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_3__3_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_3__3_/mem_right_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_3__3_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_3__3_/mem_right_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_3__3_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_3__3_/mem_right_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_3__3_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_3__3_/mem_right_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_3__3_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_3__3_/mem_right_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_3__3_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_3__3_/mem_right_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_3__3_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_3__3_/mem_right_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_3__3_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_3__3_/mem_right_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_3__3_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_3__3_/mem_right_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_3__3_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_3__3_/mem_right_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_3__3_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_3__3_/mem_right_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_3__3_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_3__3_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_4__2_/mem_top_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_4__2_/mem_top_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_4__2_/mem_top_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_4__2_/mem_top_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_4__2_/mem_top_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_4__2_/mem_top_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_4__2_/mem_top_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_4__2_/mem_top_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_4__2_/mem_top_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_4__2_/mem_top_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_4__2_/mem_top_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_4__2_/mem_top_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_4__2_/mem_top_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_4__2_/mem_top_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_4__2_/mem_top_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_4__2_/mem_top_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_4__2_/mem_top_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_4__2_/mem_top_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_4__2_/mem_top_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_4__2_/mem_top_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_4__2_/mem_top_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_4__2_/mem_top_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_4__2_/mem_top_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_4__2_/mem_top_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_4__2_/mem_bottom_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_4__2_/mem_bottom_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_4__2_/mem_bottom_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_4__2_/mem_bottom_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_4__2_/mem_bottom_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_4__2_/mem_bottom_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_4__2_/mem_bottom_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_4__2_/mem_bottom_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_4__2_/mem_bottom_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_4__2_/mem_bottom_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_4__2_/mem_bottom_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_4__2_/mem_bottom_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_4__2_/mem_bottom_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_4__2_/mem_bottom_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_4__2_/mem_bottom_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_4__2_/mem_bottom_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_4__2_/mem_bottom_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_4__2_/mem_bottom_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_4__2_/mem_bottom_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_4__2_/mem_bottom_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_4__2_/mem_bottom_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_4__2_/mem_bottom_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_4__2_/mem_bottom_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_4__2_/mem_bottom_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_4__2_/mem_left_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_4__2_/mem_left_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_4__2_/mem_left_track_3/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_4__2_/mem_left_track_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_left_track_3/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_3/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_left_track_3/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_left_track_3/DFF_1_/Q -to fpga_top/sb_4__2_/mem_left_track_5/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_left_track_3/DFF_1_/Q -to fpga_top/sb_4__2_/mem_left_track_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_left_track_5/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_5/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_left_track_5/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_left_track_5/DFF_1_/Q -to fpga_top/sb_4__2_/mem_left_track_7/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_left_track_5/DFF_1_/Q -to fpga_top/sb_4__2_/mem_left_track_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_left_track_7/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_7/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_left_track_7/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_left_track_7/DFF_1_/Q -to fpga_top/sb_4__2_/mem_left_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_left_track_7/DFF_1_/Q -to fpga_top/sb_4__2_/mem_left_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_4__2_/mem_left_track_11/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_4__2_/mem_left_track_11/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_left_track_11/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_11/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_left_track_11/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_11/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_left_track_11/DFF_1_/Q -to fpga_top/sb_4__2_/mem_left_track_13/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_left_track_11/DFF_1_/Q -to fpga_top/sb_4__2_/mem_left_track_13/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_left_track_13/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_13/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_left_track_13/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_13/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_left_track_13/DFF_1_/Q -to fpga_top/sb_4__2_/mem_left_track_15/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_left_track_13/DFF_1_/Q -to fpga_top/sb_4__2_/mem_left_track_15/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_left_track_15/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_15/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_left_track_15/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_15/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_left_track_15/DFF_1_/Q -to fpga_top/sb_4__2_/mem_left_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_left_track_15/DFF_1_/Q -to fpga_top/sb_4__2_/mem_left_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_4__2_/mem_left_track_19/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_4__2_/mem_left_track_19/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_left_track_19/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_19/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_left_track_19/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_19/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_left_track_19/DFF_1_/Q -to fpga_top/cbx_4__2_/mem_bottom_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_left_track_19/DFF_1_/Q -to fpga_top/cbx_4__2_/mem_bottom_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__2_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__2_/mem_bottom_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__2_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__2_/mem_bottom_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__2_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__2_/mem_bottom_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__2_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__2_/mem_bottom_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__2_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__2_/mem_bottom_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__2_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__2_/mem_bottom_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__2_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__2_/mem_bottom_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__2_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__2_/mem_bottom_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__2_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__2_/mem_bottom_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__2_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__2_/mem_bottom_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__2_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__2_/mem_bottom_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__2_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__2_/mem_bottom_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__2_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_4__2_/mem_bottom_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__2_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_4__2_/mem_bottom_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__2_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_4__2_/mem_top_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__2_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_4__2_/mem_top_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__2_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__2_/mem_top_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__2_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__2_/mem_top_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__2_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__2_/mem_top_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__2_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__2_/mem_top_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__2_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__2_/mem_top_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__2_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__2_/mem_top_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__2_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__2_/mem_top_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__2_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__2_/mem_top_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__2_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__2_/mem_top_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__2_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__2_/mem_top_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__2_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_4__2_/mem_top_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__2_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_4__2_/mem_top_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__2_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__2_/mem_top_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__2_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__2_/mem_top_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__2_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_4__3_/mem_left_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__2_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_4__3_/mem_left_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_4__3_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_4__3_/mem_left_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_4__3_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_4__3_/mem_left_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_4__3_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_4__3_/mem_left_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_4__3_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_4__3_/mem_left_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_4__3_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_4__3_/mem_left_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_4__3_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_4__3_/mem_left_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_4__3_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_4__3_/mem_left_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_4__3_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_4__3_/mem_left_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_4__3_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_4__3_/mem_left_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_4__3_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_4__3_/mem_left_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_4__3_/mem_left_ipin_1/DFF_2_/Q -to fpga_top/cby_4__3_/mem_left_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_4__3_/mem_left_ipin_1/DFF_2_/Q -to fpga_top/cby_4__3_/mem_left_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_4__3_/mem_left_ipin_2/DFF_0_/Q -to fpga_top/cby_4__3_/mem_left_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_4__3_/mem_left_ipin_2/DFF_0_/Q -to fpga_top/cby_4__3_/mem_left_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_4__3_/mem_left_ipin_2/DFF_1_/Q -to fpga_top/cby_4__3_/mem_left_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_4__3_/mem_left_ipin_2/DFF_1_/Q -to fpga_top/cby_4__3_/mem_left_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_4__3_/mem_left_ipin_2/DFF_2_/Q -to fpga_top/cby_4__3_/mem_left_ipin_3/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_4__3_/mem_left_ipin_2/DFF_2_/Q -to fpga_top/cby_4__3_/mem_left_ipin_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_4__3_/mem_left_ipin_3/DFF_0_/Q -to fpga_top/cby_4__3_/mem_left_ipin_3/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_4__3_/mem_left_ipin_3/DFF_0_/Q -to fpga_top/cby_4__3_/mem_left_ipin_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_4__3_/mem_left_ipin_3/DFF_1_/Q -to fpga_top/cby_4__3_/mem_left_ipin_3/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_4__3_/mem_left_ipin_3/DFF_1_/Q -to fpga_top/cby_4__3_/mem_left_ipin_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_4__3_/mem_left_ipin_3/DFF_2_/Q -to fpga_top/cby_4__3_/mem_left_ipin_4/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_4__3_/mem_left_ipin_3/DFF_2_/Q -to fpga_top/cby_4__3_/mem_left_ipin_4/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_4__3_/mem_left_ipin_4/DFF_0_/Q -to fpga_top/cby_4__3_/mem_left_ipin_4/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_4__3_/mem_left_ipin_4/DFF_0_/Q -to fpga_top/cby_4__3_/mem_left_ipin_4/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_4__3_/mem_left_ipin_4/DFF_1_/Q -to fpga_top/cby_4__3_/mem_left_ipin_4/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_4__3_/mem_left_ipin_4/DFF_1_/Q -to fpga_top/cby_4__3_/mem_left_ipin_4/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_4__3_/mem_left_ipin_4/DFF_2_/Q -to fpga_top/cby_4__3_/mem_left_ipin_5/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_4__3_/mem_left_ipin_4/DFF_2_/Q -to fpga_top/cby_4__3_/mem_left_ipin_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_4__3_/mem_left_ipin_5/DFF_0_/Q -to fpga_top/cby_4__3_/mem_left_ipin_5/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_4__3_/mem_left_ipin_5/DFF_0_/Q -to fpga_top/cby_4__3_/mem_left_ipin_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_4__3_/mem_left_ipin_5/DFF_1_/Q -to fpga_top/cby_4__3_/mem_left_ipin_5/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_4__3_/mem_left_ipin_5/DFF_1_/Q -to fpga_top/cby_4__3_/mem_left_ipin_5/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_4__3_/mem_left_ipin_5/DFF_2_/Q -to fpga_top/cby_4__3_/mem_left_ipin_6/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_4__3_/mem_left_ipin_5/DFF_2_/Q -to fpga_top/cby_4__3_/mem_left_ipin_6/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_4__3_/mem_left_ipin_6/DFF_0_/Q -to fpga_top/cby_4__3_/mem_left_ipin_6/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_4__3_/mem_left_ipin_6/DFF_0_/Q -to fpga_top/cby_4__3_/mem_left_ipin_6/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_4__3_/mem_left_ipin_6/DFF_1_/Q -to fpga_top/cby_4__3_/mem_left_ipin_6/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_4__3_/mem_left_ipin_6/DFF_1_/Q -to fpga_top/cby_4__3_/mem_left_ipin_6/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_4__3_/mem_left_ipin_6/DFF_2_/Q -to fpga_top/cby_4__3_/mem_left_ipin_7/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_4__3_/mem_left_ipin_6/DFF_2_/Q -to fpga_top/cby_4__3_/mem_left_ipin_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_4__3_/mem_left_ipin_7/DFF_0_/Q -to fpga_top/cby_4__3_/mem_left_ipin_7/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_4__3_/mem_left_ipin_7/DFF_0_/Q -to fpga_top/cby_4__3_/mem_left_ipin_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_4__3_/mem_left_ipin_7/DFF_1_/Q -to fpga_top/cby_4__3_/mem_left_ipin_7/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_4__3_/mem_left_ipin_7/DFF_1_/Q -to fpga_top/cby_4__3_/mem_left_ipin_7/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_4__3_/mem_left_ipin_7/DFF_2_/Q -to fpga_top/cby_4__3_/mem_right_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_4__3_/mem_left_ipin_7/DFF_2_/Q -to fpga_top/cby_4__3_/mem_right_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_4__3_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_4__3_/mem_right_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_4__3_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_4__3_/mem_right_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_4__3_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_4__3_/mem_right_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_4__3_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_4__3_/mem_right_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_4__3_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_4__3_/mem_right_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_4__3_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_4__3_/mem_right_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_4__3_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_4__3_/mem_right_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_4__3_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_4__3_/mem_right_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_4__3_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_4__3_/mem_right_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_4__3_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_4__3_/mem_right_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_4__3_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_4__3_/mem_right_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_4__3_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_4__3_/mem_right_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_4__3_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_4__3_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_4__3_/mem_top_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_4__3_/mem_top_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_4__3_/mem_top_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_4__3_/mem_top_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_4__3_/mem_top_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_4__3_/mem_top_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_4__3_/mem_top_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_4__3_/mem_top_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_4__3_/mem_top_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_4__3_/mem_top_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_4__3_/mem_top_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_4__3_/mem_top_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_4__3_/mem_top_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_4__3_/mem_top_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_4__3_/mem_top_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_4__3_/mem_top_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_4__3_/mem_top_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_4__3_/mem_top_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_4__3_/mem_top_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_4__3_/mem_top_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_4__3_/mem_top_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_4__3_/mem_top_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_4__3_/mem_top_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_4__3_/mem_top_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_4__3_/mem_bottom_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_4__3_/mem_bottom_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_4__3_/mem_bottom_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_4__3_/mem_bottom_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_4__3_/mem_bottom_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_4__3_/mem_bottom_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_4__3_/mem_bottom_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_4__3_/mem_bottom_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_4__3_/mem_bottom_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_4__3_/mem_bottom_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_4__3_/mem_bottom_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_4__3_/mem_bottom_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_4__3_/mem_bottom_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_4__3_/mem_bottom_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_4__3_/mem_bottom_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_4__3_/mem_bottom_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_4__3_/mem_bottom_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_4__3_/mem_bottom_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_4__3_/mem_bottom_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_4__3_/mem_bottom_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_4__3_/mem_bottom_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_4__3_/mem_bottom_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_4__3_/mem_bottom_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_4__3_/mem_bottom_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_4__3_/mem_left_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_4__3_/mem_left_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_4__3_/mem_left_track_3/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_4__3_/mem_left_track_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_left_track_3/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_3/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_left_track_3/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_left_track_3/DFF_1_/Q -to fpga_top/sb_4__3_/mem_left_track_5/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_left_track_3/DFF_1_/Q -to fpga_top/sb_4__3_/mem_left_track_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_left_track_5/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_5/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_left_track_5/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_left_track_5/DFF_1_/Q -to fpga_top/sb_4__3_/mem_left_track_7/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_left_track_5/DFF_1_/Q -to fpga_top/sb_4__3_/mem_left_track_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_left_track_7/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_7/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_left_track_7/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_left_track_7/DFF_1_/Q -to fpga_top/sb_4__3_/mem_left_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_left_track_7/DFF_1_/Q -to fpga_top/sb_4__3_/mem_left_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_4__3_/mem_left_track_11/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_4__3_/mem_left_track_11/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_left_track_11/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_11/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_left_track_11/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_11/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_left_track_11/DFF_1_/Q -to fpga_top/sb_4__3_/mem_left_track_13/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_left_track_11/DFF_1_/Q -to fpga_top/sb_4__3_/mem_left_track_13/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_left_track_13/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_13/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_left_track_13/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_13/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_left_track_13/DFF_1_/Q -to fpga_top/sb_4__3_/mem_left_track_15/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_left_track_13/DFF_1_/Q -to fpga_top/sb_4__3_/mem_left_track_15/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_left_track_15/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_15/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_left_track_15/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_15/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_left_track_15/DFF_1_/Q -to fpga_top/sb_4__3_/mem_left_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_left_track_15/DFF_1_/Q -to fpga_top/sb_4__3_/mem_left_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_4__3_/mem_left_track_19/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_4__3_/mem_left_track_19/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_left_track_19/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_19/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_left_track_19/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_19/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_left_track_19/DFF_1_/Q -to fpga_top/cbx_4__3_/mem_bottom_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_left_track_19/DFF_1_/Q -to fpga_top/cbx_4__3_/mem_bottom_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__3_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__3_/mem_bottom_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__3_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__3_/mem_bottom_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__3_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__3_/mem_bottom_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__3_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__3_/mem_bottom_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__3_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__3_/mem_bottom_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__3_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__3_/mem_bottom_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__3_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__3_/mem_bottom_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__3_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__3_/mem_bottom_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__3_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__3_/mem_bottom_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__3_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__3_/mem_bottom_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__3_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__3_/mem_bottom_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__3_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__3_/mem_bottom_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__3_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_4__3_/mem_bottom_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__3_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_4__3_/mem_bottom_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__3_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_4__3_/mem_top_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__3_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_4__3_/mem_top_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__3_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__3_/mem_top_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__3_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__3_/mem_top_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__3_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__3_/mem_top_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__3_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__3_/mem_top_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__3_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__3_/mem_top_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__3_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__3_/mem_top_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__3_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__3_/mem_top_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__3_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__3_/mem_top_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__3_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__3_/mem_top_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__3_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__3_/mem_top_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__3_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_4__3_/mem_top_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__3_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_4__3_/mem_top_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__3_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__3_/mem_top_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__3_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__3_/mem_top_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__3_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_4__4_/mem_left_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__3_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_4__4_/mem_left_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_4__4_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_4__4_/mem_left_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_4__4_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_4__4_/mem_left_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_4__4_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_4__4_/mem_left_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_4__4_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_4__4_/mem_left_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_4__4_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_4__4_/mem_left_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_4__4_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_4__4_/mem_left_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_4__4_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_4__4_/mem_left_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_4__4_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_4__4_/mem_left_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_4__4_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_4__4_/mem_left_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_4__4_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_4__4_/mem_left_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_4__4_/mem_left_ipin_1/DFF_2_/Q -to fpga_top/cby_4__4_/mem_left_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_4__4_/mem_left_ipin_1/DFF_2_/Q -to fpga_top/cby_4__4_/mem_left_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_4__4_/mem_left_ipin_2/DFF_0_/Q -to fpga_top/cby_4__4_/mem_left_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_4__4_/mem_left_ipin_2/DFF_0_/Q -to fpga_top/cby_4__4_/mem_left_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_4__4_/mem_left_ipin_2/DFF_1_/Q -to fpga_top/cby_4__4_/mem_left_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_4__4_/mem_left_ipin_2/DFF_1_/Q -to fpga_top/cby_4__4_/mem_left_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_4__4_/mem_left_ipin_2/DFF_2_/Q -to fpga_top/cby_4__4_/mem_left_ipin_3/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_4__4_/mem_left_ipin_2/DFF_2_/Q -to fpga_top/cby_4__4_/mem_left_ipin_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_4__4_/mem_left_ipin_3/DFF_0_/Q -to fpga_top/cby_4__4_/mem_left_ipin_3/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_4__4_/mem_left_ipin_3/DFF_0_/Q -to fpga_top/cby_4__4_/mem_left_ipin_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_4__4_/mem_left_ipin_3/DFF_1_/Q -to fpga_top/cby_4__4_/mem_left_ipin_3/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_4__4_/mem_left_ipin_3/DFF_1_/Q -to fpga_top/cby_4__4_/mem_left_ipin_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_4__4_/mem_left_ipin_3/DFF_2_/Q -to fpga_top/cby_4__4_/mem_left_ipin_4/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_4__4_/mem_left_ipin_3/DFF_2_/Q -to fpga_top/cby_4__4_/mem_left_ipin_4/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_4__4_/mem_left_ipin_4/DFF_0_/Q -to fpga_top/cby_4__4_/mem_left_ipin_4/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_4__4_/mem_left_ipin_4/DFF_0_/Q -to fpga_top/cby_4__4_/mem_left_ipin_4/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_4__4_/mem_left_ipin_4/DFF_1_/Q -to fpga_top/cby_4__4_/mem_left_ipin_4/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_4__4_/mem_left_ipin_4/DFF_1_/Q -to fpga_top/cby_4__4_/mem_left_ipin_4/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_4__4_/mem_left_ipin_4/DFF_2_/Q -to fpga_top/cby_4__4_/mem_left_ipin_5/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_4__4_/mem_left_ipin_4/DFF_2_/Q -to fpga_top/cby_4__4_/mem_left_ipin_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_4__4_/mem_left_ipin_5/DFF_0_/Q -to fpga_top/cby_4__4_/mem_left_ipin_5/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_4__4_/mem_left_ipin_5/DFF_0_/Q -to fpga_top/cby_4__4_/mem_left_ipin_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_4__4_/mem_left_ipin_5/DFF_1_/Q -to fpga_top/cby_4__4_/mem_left_ipin_5/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_4__4_/mem_left_ipin_5/DFF_1_/Q -to fpga_top/cby_4__4_/mem_left_ipin_5/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_4__4_/mem_left_ipin_5/DFF_2_/Q -to fpga_top/cby_4__4_/mem_left_ipin_6/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_4__4_/mem_left_ipin_5/DFF_2_/Q -to fpga_top/cby_4__4_/mem_left_ipin_6/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_4__4_/mem_left_ipin_6/DFF_0_/Q -to fpga_top/cby_4__4_/mem_left_ipin_6/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_4__4_/mem_left_ipin_6/DFF_0_/Q -to fpga_top/cby_4__4_/mem_left_ipin_6/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_4__4_/mem_left_ipin_6/DFF_1_/Q -to fpga_top/cby_4__4_/mem_left_ipin_6/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_4__4_/mem_left_ipin_6/DFF_1_/Q -to fpga_top/cby_4__4_/mem_left_ipin_6/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_4__4_/mem_left_ipin_6/DFF_2_/Q -to fpga_top/cby_4__4_/mem_left_ipin_7/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_4__4_/mem_left_ipin_6/DFF_2_/Q -to fpga_top/cby_4__4_/mem_left_ipin_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_4__4_/mem_left_ipin_7/DFF_0_/Q -to fpga_top/cby_4__4_/mem_left_ipin_7/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_4__4_/mem_left_ipin_7/DFF_0_/Q -to fpga_top/cby_4__4_/mem_left_ipin_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_4__4_/mem_left_ipin_7/DFF_1_/Q -to fpga_top/cby_4__4_/mem_left_ipin_7/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_4__4_/mem_left_ipin_7/DFF_1_/Q -to fpga_top/cby_4__4_/mem_left_ipin_7/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_4__4_/mem_left_ipin_7/DFF_2_/Q -to fpga_top/cby_4__4_/mem_right_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_4__4_/mem_left_ipin_7/DFF_2_/Q -to fpga_top/cby_4__4_/mem_right_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_4__4_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_4__4_/mem_right_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_4__4_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_4__4_/mem_right_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_4__4_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_4__4_/mem_right_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_4__4_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_4__4_/mem_right_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_4__4_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_4__4_/mem_right_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_4__4_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_4__4_/mem_right_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_4__4_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_4__4_/mem_right_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_4__4_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_4__4_/mem_right_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_4__4_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_4__4_/mem_right_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_4__4_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_4__4_/mem_right_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_4__4_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_4__4_/mem_right_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_4__4_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_4__4_/mem_right_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_4__4_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_4__4_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_3__3_/mem_top_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_3__3_/mem_top_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_3__3_/mem_top_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_3__3_/mem_top_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_3__3_/mem_top_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_3__3_/mem_top_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_3__3_/mem_top_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_3__3_/mem_top_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_3__3_/mem_top_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_3__3_/mem_top_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_3__3_/mem_top_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_3__3_/mem_top_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_3__3_/mem_top_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_3__3_/mem_top_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_3__3_/mem_top_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_3__3_/mem_top_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_3__3_/mem_top_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_3__3_/mem_top_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_3__3_/mem_top_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_3__3_/mem_top_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_3__3_/mem_top_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_3__3_/mem_top_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_3__3_/mem_top_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_3__3_/mem_top_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_3__3_/mem_right_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_3__3_/mem_right_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_3__3_/mem_right_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_3__3_/mem_right_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_3__3_/mem_right_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_3__3_/mem_right_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_3__3_/mem_right_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_3__3_/mem_right_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_3__3_/mem_right_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_3__3_/mem_right_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_3__3_/mem_right_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_3__3_/mem_right_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_3__3_/mem_right_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_3__3_/mem_right_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_3__3_/mem_right_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_3__3_/mem_right_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_3__3_/mem_right_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_3__3_/mem_right_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_3__3_/mem_right_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_3__3_/mem_right_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_3__3_/mem_right_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_3__3_/mem_right_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_3__3_/mem_right_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_3__3_/mem_right_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_3__3_/mem_bottom_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_3__3_/mem_bottom_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_3__3_/mem_bottom_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_3__3_/mem_bottom_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_3__3_/mem_bottom_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_3__3_/mem_bottom_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_3__3_/mem_bottom_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_3__3_/mem_bottom_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_3__3_/mem_bottom_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_3__3_/mem_bottom_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_3__3_/mem_bottom_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_3__3_/mem_bottom_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_3__3_/mem_bottom_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_3__3_/mem_bottom_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_3__3_/mem_bottom_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_3__3_/mem_bottom_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_3__3_/mem_bottom_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_3__3_/mem_bottom_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_3__3_/mem_bottom_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_3__3_/mem_bottom_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_3__3_/mem_bottom_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_3__3_/mem_bottom_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_3__3_/mem_bottom_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_3__3_/mem_bottom_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_3__3_/mem_left_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_3__3_/mem_left_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_3__3_/mem_left_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_3__3_/mem_left_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_3__3_/mem_left_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_3__3_/mem_left_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_3__3_/mem_left_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_3__3_/mem_left_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_3__3_/mem_left_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_3__3_/mem_left_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_3__3_/mem_left_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_3__3_/mem_left_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_3__3_/mem_left_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_3__3_/mem_left_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_3__3_/mem_left_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_3__3_/mem_left_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_3__3_/mem_left_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_3__3_/mem_left_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_3__3_/mem_left_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_3__3_/mem_left_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_3__3_/mem_left_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_3__3_/mem_left_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_3__3_/mem_left_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_3__3_/mem_left_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_3__3_/mem_bottom_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_3__3_/mem_bottom_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__3_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__3_/mem_bottom_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__3_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__3_/mem_bottom_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__3_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__3_/mem_bottom_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__3_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__3_/mem_bottom_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__3_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__3_/mem_bottom_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__3_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__3_/mem_bottom_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__3_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__3_/mem_bottom_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__3_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__3_/mem_bottom_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__3_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__3_/mem_bottom_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__3_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__3_/mem_bottom_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__3_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__3_/mem_bottom_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__3_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__3_/mem_bottom_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__3_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_3__3_/mem_bottom_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__3_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_3__3_/mem_bottom_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__3_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_3__3_/mem_top_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__3_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_3__3_/mem_top_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__3_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__3_/mem_top_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__3_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__3_/mem_top_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__3_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__3_/mem_top_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__3_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__3_/mem_top_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__3_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__3_/mem_top_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__3_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__3_/mem_top_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__3_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__3_/mem_top_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__3_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__3_/mem_top_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__3_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__3_/mem_top_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__3_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__3_/mem_top_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__3_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_3__3_/mem_top_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__3_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_3__3_/mem_top_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__3_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__3_/mem_top_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__3_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__3_/mem_top_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__3_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_3__4_/mem_left_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__3_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_3__4_/mem_left_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_3__4_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_3__4_/mem_left_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_3__4_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_3__4_/mem_left_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_3__4_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_3__4_/mem_left_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_3__4_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_3__4_/mem_left_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_3__4_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_3__4_/mem_left_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_3__4_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_3__4_/mem_left_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_3__4_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_3__4_/mem_left_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_3__4_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_3__4_/mem_left_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_3__4_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_3__4_/mem_right_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_3__4_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_3__4_/mem_right_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_3__4_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_3__4_/mem_right_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_3__4_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_3__4_/mem_right_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_3__4_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_3__4_/mem_right_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_3__4_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_3__4_/mem_right_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_3__4_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_3__4_/mem_right_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_3__4_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_3__4_/mem_right_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_3__4_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_3__4_/mem_right_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_3__4_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_3__4_/mem_right_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_3__4_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_3__4_/mem_right_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_3__4_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_3__4_/mem_right_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_3__4_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_3__4_/mem_right_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_3__4_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_3__4_/mem_right_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_3__4_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_3__4_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_2__3_/mem_top_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_2__3_/mem_top_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_2__3_/mem_top_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_2__3_/mem_top_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_2__3_/mem_top_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_2__3_/mem_top_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_2__3_/mem_top_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_2__3_/mem_top_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_2__3_/mem_top_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_2__3_/mem_top_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_2__3_/mem_top_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_2__3_/mem_top_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_2__3_/mem_top_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_2__3_/mem_top_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_2__3_/mem_top_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_2__3_/mem_top_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_2__3_/mem_top_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_2__3_/mem_top_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_2__3_/mem_top_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_2__3_/mem_top_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_2__3_/mem_top_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_2__3_/mem_top_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_2__3_/mem_top_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_2__3_/mem_top_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_2__3_/mem_right_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_2__3_/mem_right_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_2__3_/mem_right_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_2__3_/mem_right_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_2__3_/mem_right_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_2__3_/mem_right_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_2__3_/mem_right_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_2__3_/mem_right_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_2__3_/mem_right_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_2__3_/mem_right_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_2__3_/mem_right_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_2__3_/mem_right_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_2__3_/mem_right_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_2__3_/mem_right_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_2__3_/mem_right_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_2__3_/mem_right_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_2__3_/mem_right_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_2__3_/mem_right_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_2__3_/mem_right_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_2__3_/mem_right_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_2__3_/mem_right_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_2__3_/mem_right_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_2__3_/mem_right_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_2__3_/mem_right_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_2__3_/mem_bottom_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_2__3_/mem_bottom_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_2__3_/mem_bottom_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_2__3_/mem_bottom_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_2__3_/mem_bottom_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_2__3_/mem_bottom_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_2__3_/mem_bottom_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_2__3_/mem_bottom_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_2__3_/mem_bottom_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_2__3_/mem_bottom_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_2__3_/mem_bottom_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_2__3_/mem_bottom_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_2__3_/mem_bottom_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_2__3_/mem_bottom_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_2__3_/mem_bottom_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_2__3_/mem_bottom_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_2__3_/mem_bottom_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_2__3_/mem_bottom_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_2__3_/mem_bottom_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_2__3_/mem_bottom_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_2__3_/mem_bottom_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_2__3_/mem_bottom_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_2__3_/mem_bottom_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_2__3_/mem_bottom_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_2__3_/mem_left_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_2__3_/mem_left_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_2__3_/mem_left_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_2__3_/mem_left_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_2__3_/mem_left_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_2__3_/mem_left_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_2__3_/mem_left_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_2__3_/mem_left_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_2__3_/mem_left_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_2__3_/mem_left_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_2__3_/mem_left_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_2__3_/mem_left_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_2__3_/mem_left_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_2__3_/mem_left_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_2__3_/mem_left_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_2__3_/mem_left_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_2__3_/mem_left_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_2__3_/mem_left_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_2__3_/mem_left_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_2__3_/mem_left_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_2__3_/mem_left_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_2__3_/mem_left_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_2__3_/mem_left_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_2__3_/mem_left_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_2__3_/mem_bottom_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_2__3_/mem_bottom_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__3_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__3_/mem_bottom_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__3_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__3_/mem_bottom_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__3_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__3_/mem_bottom_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__3_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__3_/mem_bottom_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__3_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__3_/mem_bottom_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__3_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__3_/mem_bottom_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__3_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__3_/mem_bottom_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__3_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__3_/mem_bottom_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__3_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__3_/mem_bottom_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__3_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__3_/mem_bottom_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__3_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__3_/mem_bottom_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__3_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__3_/mem_bottom_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__3_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_2__3_/mem_bottom_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__3_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_2__3_/mem_bottom_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__3_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_2__3_/mem_top_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__3_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_2__3_/mem_top_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__3_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__3_/mem_top_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__3_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__3_/mem_top_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__3_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__3_/mem_top_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__3_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__3_/mem_top_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__3_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__3_/mem_top_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__3_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__3_/mem_top_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__3_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__3_/mem_top_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__3_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__3_/mem_top_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__3_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__3_/mem_top_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__3_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__3_/mem_top_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__3_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_2__3_/mem_top_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__3_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_2__3_/mem_top_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__3_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__3_/mem_top_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__3_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__3_/mem_top_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__3_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_2__4_/mem_left_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__3_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_2__4_/mem_left_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_2__4_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_2__4_/mem_left_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_2__4_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_2__4_/mem_left_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_2__4_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_2__4_/mem_left_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_2__4_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_2__4_/mem_left_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_2__4_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_2__4_/mem_left_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_2__4_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_2__4_/mem_left_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_2__4_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_2__4_/mem_left_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_2__4_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_2__4_/mem_left_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_2__4_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_2__4_/mem_right_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_2__4_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_2__4_/mem_right_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_2__4_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_2__4_/mem_right_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_2__4_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_2__4_/mem_right_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_2__4_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_2__4_/mem_right_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_2__4_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_2__4_/mem_right_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_2__4_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_2__4_/mem_right_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_2__4_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_2__4_/mem_right_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_2__4_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_2__4_/mem_right_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_2__4_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_2__4_/mem_right_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_2__4_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_2__4_/mem_right_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_2__4_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_2__4_/mem_right_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_2__4_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_2__4_/mem_right_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_2__4_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_2__4_/mem_right_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_2__4_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_2__4_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_1__3_/mem_top_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_1__3_/mem_top_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_1__3_/mem_top_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_1__3_/mem_top_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_1__3_/mem_top_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_1__3_/mem_top_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_1__3_/mem_top_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_1__3_/mem_top_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_1__3_/mem_top_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_1__3_/mem_top_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_1__3_/mem_top_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_1__3_/mem_top_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_1__3_/mem_top_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_1__3_/mem_top_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_1__3_/mem_top_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_1__3_/mem_top_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_1__3_/mem_top_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_1__3_/mem_top_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_1__3_/mem_top_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_1__3_/mem_top_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_1__3_/mem_top_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_1__3_/mem_top_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_1__3_/mem_top_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_1__3_/mem_top_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_1__3_/mem_right_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_1__3_/mem_right_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_1__3_/mem_right_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_1__3_/mem_right_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_1__3_/mem_right_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_1__3_/mem_right_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_1__3_/mem_right_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_1__3_/mem_right_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_1__3_/mem_right_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_1__3_/mem_right_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_1__3_/mem_right_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_1__3_/mem_right_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_1__3_/mem_right_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_1__3_/mem_right_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_1__3_/mem_right_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_1__3_/mem_right_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_1__3_/mem_right_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_1__3_/mem_right_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_1__3_/mem_right_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_1__3_/mem_right_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_1__3_/mem_right_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_1__3_/mem_right_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_1__3_/mem_right_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_1__3_/mem_right_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_1__3_/mem_bottom_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_1__3_/mem_bottom_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_1__3_/mem_bottom_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_1__3_/mem_bottom_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_1__3_/mem_bottom_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_1__3_/mem_bottom_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_1__3_/mem_bottom_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_1__3_/mem_bottom_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_1__3_/mem_bottom_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_1__3_/mem_bottom_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_1__3_/mem_bottom_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_1__3_/mem_bottom_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_1__3_/mem_bottom_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_1__3_/mem_bottom_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_1__3_/mem_bottom_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_1__3_/mem_bottom_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_1__3_/mem_bottom_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_1__3_/mem_bottom_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_1__3_/mem_bottom_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_1__3_/mem_bottom_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_1__3_/mem_bottom_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_1__3_/mem_bottom_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_1__3_/mem_bottom_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_1__3_/mem_bottom_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_1__3_/mem_left_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_1__3_/mem_left_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_1__3_/mem_left_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_1__3_/mem_left_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_1__3_/mem_left_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_1__3_/mem_left_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_1__3_/mem_left_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_1__3_/mem_left_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_1__3_/mem_left_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_1__3_/mem_left_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_1__3_/mem_left_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_1__3_/mem_left_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_1__3_/mem_left_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_1__3_/mem_left_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_1__3_/mem_left_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_1__3_/mem_left_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_1__3_/mem_left_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_1__3_/mem_left_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_1__3_/mem_left_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_1__3_/mem_left_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_1__3_/mem_left_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_1__3_/mem_left_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_1__3_/mem_left_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_1__3_/mem_left_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_1__3_/mem_bottom_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_1__3_/mem_bottom_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__3_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__3_/mem_bottom_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__3_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__3_/mem_bottom_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__3_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__3_/mem_bottom_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__3_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__3_/mem_bottom_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__3_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__3_/mem_bottom_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__3_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__3_/mem_bottom_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__3_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__3_/mem_bottom_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__3_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__3_/mem_bottom_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__3_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__3_/mem_bottom_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__3_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__3_/mem_bottom_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__3_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__3_/mem_bottom_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__3_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__3_/mem_bottom_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__3_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_1__3_/mem_bottom_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__3_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_1__3_/mem_bottom_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__3_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_1__3_/mem_top_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__3_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_1__3_/mem_top_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__3_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__3_/mem_top_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__3_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__3_/mem_top_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__3_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__3_/mem_top_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__3_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__3_/mem_top_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__3_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__3_/mem_top_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__3_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__3_/mem_top_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__3_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__3_/mem_top_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__3_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__3_/mem_top_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__3_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__3_/mem_top_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__3_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__3_/mem_top_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__3_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_1__3_/mem_top_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__3_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_1__3_/mem_top_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__3_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__3_/mem_top_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__3_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__3_/mem_top_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__3_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_1__4_/mem_left_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__3_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_1__4_/mem_left_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_1__4_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_1__4_/mem_left_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_1__4_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_1__4_/mem_left_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_1__4_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_1__4_/mem_left_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_1__4_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_1__4_/mem_left_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_1__4_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_1__4_/mem_left_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_1__4_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_1__4_/mem_left_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_1__4_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_1__4_/mem_left_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_1__4_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_1__4_/mem_left_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_1__4_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_1__4_/mem_right_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_1__4_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_1__4_/mem_right_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_1__4_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_1__4_/mem_right_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_1__4_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_1__4_/mem_right_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_1__4_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_1__4_/mem_right_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_1__4_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_1__4_/mem_right_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_1__4_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_1__4_/mem_right_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_1__4_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_1__4_/mem_right_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_1__4_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_1__4_/mem_right_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_1__4_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_1__4_/mem_right_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_1__4_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_1__4_/mem_right_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_1__4_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_1__4_/mem_right_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_1__4_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_1__4_/mem_right_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_1__4_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_1__4_/mem_right_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_1__4_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_1__4_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_0_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_1_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_2_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_3_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_4_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_5_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_6_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_7_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_8_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_9_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_10_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_11_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_12_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_13_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_14_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_DFF_mem/DFF_15_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_0_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/mem_ble4_out_0/DFF_1_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_0_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_1_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_2_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_0/DFF_3_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_0_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_1_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_2_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_1/DFF_3_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_0_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_1_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_2_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_2/DFF_3_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_0_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_1_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_2_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_0_in_3/DFF_3_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_0_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_1_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_2_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_0/DFF_3_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_0_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_1_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_2_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_1/DFF_3_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_0_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_1_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_2_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_2/DFF_3_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_0_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_1_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_2_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_1_in_3/DFF_3_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_0_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_1_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_2_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_0/DFF_3_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_0_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_1_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_2_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_1/DFF_3_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_0_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_1_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_2_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_2/DFF_3_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_0_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_1_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_2_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_2_in_3/DFF_3_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_0_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_1_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_2_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_0/DFF_3_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_0_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_1_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_2_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_1/DFF_3_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_0_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_1_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_2_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_2/DFF_3_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_0_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 5 +set_min_delay -from fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_1__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 2.5 diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/disable_configurable_memory_outputs.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/disable_configurable_memory_outputs.sdc new file mode 100644 index 000000000..3c755b028 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/disable_configurable_memory_outputs.sdc @@ -0,0 +1,150 @@ +############################################# +# Synopsys Design Constraints (SDC) +# For FPGA fabric +# Description: Disable configurable memory outputs for PnR +# Author: Xifan TANG +# Organization: University of Utah +############################################# + +set_disable_timing fpga_top/grid_io_bottom_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/Q +set_disable_timing fpga_top/grid_io_bottom_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/QN +set_disable_timing fpga_top/grid_io_right_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/Q +set_disable_timing fpga_top/grid_io_right_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN +set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFF_*_/Q +set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFF_*_/QN +set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFF_*_/Q +set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFF_*_/QN +set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFF_*_/Q +set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFF_*_/QN +set_disable_timing fpga_top/grid_io_top_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/Q +set_disable_timing fpga_top/grid_io_top_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN +set_disable_timing fpga_top/cby_*__*_/mem_left_ipin_*/DFF_*_/Q +set_disable_timing fpga_top/cby_*__*_/mem_left_ipin_*/DFF_*_/QN +set_disable_timing fpga_top/cby_*__*_/mem_left_ipin_*/DFF_*_/Q +set_disable_timing fpga_top/cby_*__*_/mem_left_ipin_*/DFF_*_/QN +set_disable_timing fpga_top/cby_*__*_/mem_right_ipin_*/DFF_*_/Q +set_disable_timing fpga_top/cby_*__*_/mem_right_ipin_*/DFF_*_/QN +set_disable_timing fpga_top/grid_io_left_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/Q +set_disable_timing fpga_top/grid_io_left_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN +set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFF_*_/Q +set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFF_*_/QN +set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFF_*_/Q +set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFF_*_/QN +set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFF_*_/Q +set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFF_*_/QN +set_disable_timing fpga_top/cby_*__*_/mem_left_ipin_*/DFF_*_/Q +set_disable_timing fpga_top/cby_*__*_/mem_left_ipin_*/DFF_*_/QN +set_disable_timing fpga_top/cby_*__*_/mem_left_ipin_*/DFF_*_/Q +set_disable_timing fpga_top/cby_*__*_/mem_left_ipin_*/DFF_*_/QN +set_disable_timing fpga_top/cby_*__*_/mem_right_ipin_*/DFF_*_/Q +set_disable_timing fpga_top/cby_*__*_/mem_right_ipin_*/DFF_*_/QN +set_disable_timing fpga_top/cby_*__*_/mem_right_ipin_*/DFF_*_/Q +set_disable_timing fpga_top/cby_*__*_/mem_right_ipin_*/DFF_*_/QN +set_disable_timing fpga_top/grid_clb_*__*_/logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_n*_lut*__ble*_*/logical_tile_clb_mode_default__fle_mode_n*_lut*__ble*_mode_default__lut*_*/lut*_DFF_mem/DFF_*_/Q +set_disable_timing fpga_top/grid_clb_*__*_/logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_n*_lut*__ble*_*/logical_tile_clb_mode_default__fle_mode_n*_lut*__ble*_mode_default__lut*_*/lut*_DFF_mem/DFF_*_/QN +set_disable_timing fpga_top/grid_clb_*__*_/logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_n*_lut*__ble*_*/mem_ble*_out_*/DFF_*_/Q +set_disable_timing fpga_top/grid_clb_*__*_/logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_n*_lut*__ble*_*/mem_ble*_out_*/DFF_*_/QN +set_disable_timing fpga_top/grid_clb_*__*_/logical_tile_clb_mode_clb__*/mem_fle_*_in_*/DFF_*_/Q +set_disable_timing fpga_top/grid_clb_*__*_/logical_tile_clb_mode_clb__*/mem_fle_*_in_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN +set_disable_timing fpga_top/cby_*__*_/mem_left_ipin_*/DFF_*_/Q +set_disable_timing fpga_top/cby_*__*_/mem_left_ipin_*/DFF_*_/QN +set_disable_timing fpga_top/cby_*__*_/mem_right_ipin_*/DFF_*_/Q +set_disable_timing fpga_top/cby_*__*_/mem_right_ipin_*/DFF_*_/QN +set_disable_timing fpga_top/cby_*__*_/mem_right_ipin_*/DFF_*_/Q +set_disable_timing fpga_top/cby_*__*_/mem_right_ipin_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN +set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFF_*_/Q +set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFF_*_/QN +set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFF_*_/Q +set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFF_*_/QN +set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFF_*_/Q +set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFF_*_/QN +set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFF_*_/Q +set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/disable_configure_ports.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/disable_configure_ports.sdc new file mode 100644 index 000000000..ee33453f0 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/disable_configure_ports.sdc @@ -0,0 +1,146 @@ +############################################# +# Synopsys Design Constraints (SDC) +# For FPGA fabric +# Description: Disable configuration outputs of all the programmable cells for PnR +# Author: Xifan TANG +# Organization: University of Utah +############################################# + +set_disable_timing fpga_top/grid_clb_*__*_/logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_n*_lut*__ble*_*/logical_tile_clb_mode_default__fle_mode_n*_lut*__ble*_mode_default__lut*_*/lut*_*_/sram +set_disable_timing fpga_top/grid_clb_*__*_/logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_n*_lut*__ble*_*/logical_tile_clb_mode_default__fle_mode_n*_lut*__ble*_mode_default__lut*_*/lut*_*_/sram_inv +set_disable_timing fpga_top/sb_*__*_/mux_top_track_*/sram +set_disable_timing fpga_top/cbx_*__*_/mux_bottom_ipin_*/sram +set_disable_timing fpga_top/cbx_*__*_/mux_top_ipin_*/sram +set_disable_timing fpga_top/cbx_*__*_/mux_bottom_ipin_*/sram +set_disable_timing fpga_top/cbx_*__*_/mux_top_ipin_*/sram +set_disable_timing fpga_top/cbx_*__*_/mux_bottom_ipin_*/sram +set_disable_timing fpga_top/cbx_*__*_/mux_top_ipin_*/sram +set_disable_timing fpga_top/cby_*__*_/mux_left_ipin_*/sram +set_disable_timing fpga_top/cby_*__*_/mux_right_ipin_*/sram +set_disable_timing fpga_top/cby_*__*_/mux_left_ipin_*/sram +set_disable_timing fpga_top/cby_*__*_/mux_right_ipin_*/sram +set_disable_timing fpga_top/cby_*__*_/mux_left_ipin_*/sram +set_disable_timing fpga_top/cby_*__*_/mux_right_ipin_*/sram +set_disable_timing fpga_top/sb_*__*_/mux_top_track_*/sram_inv +set_disable_timing fpga_top/cbx_*__*_/mux_bottom_ipin_*/sram_inv +set_disable_timing fpga_top/cbx_*__*_/mux_top_ipin_*/sram_inv +set_disable_timing fpga_top/cbx_*__*_/mux_bottom_ipin_*/sram_inv +set_disable_timing fpga_top/cbx_*__*_/mux_top_ipin_*/sram_inv +set_disable_timing fpga_top/cbx_*__*_/mux_bottom_ipin_*/sram_inv +set_disable_timing fpga_top/cbx_*__*_/mux_top_ipin_*/sram_inv +set_disable_timing fpga_top/cby_*__*_/mux_left_ipin_*/sram_inv +set_disable_timing fpga_top/cby_*__*_/mux_right_ipin_*/sram_inv +set_disable_timing fpga_top/cby_*__*_/mux_left_ipin_*/sram_inv +set_disable_timing fpga_top/cby_*__*_/mux_right_ipin_*/sram_inv +set_disable_timing fpga_top/cby_*__*_/mux_left_ipin_*/sram_inv +set_disable_timing fpga_top/cby_*__*_/mux_right_ipin_*/sram_inv +set_disable_timing fpga_top/grid_clb_*__*_/logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_n*_lut*__ble*_*/mux_ble*_out_*/sram +set_disable_timing fpga_top/sb_*__*_/mux_top_track_*/sram +set_disable_timing fpga_top/sb_*__*_/mux_right_track_*/sram +set_disable_timing fpga_top/sb_*__*_/mux_right_track_*/sram +set_disable_timing fpga_top/sb_*__*_/mux_right_track_*/sram +set_disable_timing fpga_top/sb_*__*_/mux_bottom_track_*/sram +set_disable_timing fpga_top/sb_*__*_/mux_top_track_*/sram +set_disable_timing fpga_top/sb_*__*_/mux_bottom_track_*/sram +set_disable_timing fpga_top/sb_*__*_/mux_top_track_*/sram +set_disable_timing fpga_top/sb_*__*_/mux_left_track_*/sram +set_disable_timing fpga_top/sb_*__*_/mux_left_track_*/sram +set_disable_timing fpga_top/sb_*__*_/mux_bottom_track_*/sram +set_disable_timing fpga_top/sb_*__*_/mux_left_track_*/sram +set_disable_timing fpga_top/cbx_*__*_/mux_bottom_ipin_*/sram +set_disable_timing fpga_top/cbx_*__*_/mux_bottom_ipin_*/sram +set_disable_timing fpga_top/cbx_*__*_/mux_top_ipin_*/sram +set_disable_timing fpga_top/cbx_*__*_/mux_top_ipin_*/sram +set_disable_timing fpga_top/cby_*__*_/mux_left_ipin_*/sram +set_disable_timing fpga_top/cby_*__*_/mux_left_ipin_*/sram +set_disable_timing fpga_top/cby_*__*_/mux_right_ipin_*/sram +set_disable_timing fpga_top/cby_*__*_/mux_right_ipin_*/sram +set_disable_timing fpga_top/grid_clb_*__*_/logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_n*_lut*__ble*_*/mux_ble*_out_*/sram_inv +set_disable_timing fpga_top/sb_*__*_/mux_top_track_*/sram_inv +set_disable_timing fpga_top/sb_*__*_/mux_right_track_*/sram_inv +set_disable_timing fpga_top/sb_*__*_/mux_right_track_*/sram_inv +set_disable_timing fpga_top/sb_*__*_/mux_right_track_*/sram_inv +set_disable_timing fpga_top/sb_*__*_/mux_bottom_track_*/sram_inv +set_disable_timing fpga_top/sb_*__*_/mux_top_track_*/sram_inv +set_disable_timing fpga_top/sb_*__*_/mux_bottom_track_*/sram_inv +set_disable_timing fpga_top/sb_*__*_/mux_top_track_*/sram_inv +set_disable_timing fpga_top/sb_*__*_/mux_left_track_*/sram_inv +set_disable_timing fpga_top/sb_*__*_/mux_left_track_*/sram_inv +set_disable_timing fpga_top/sb_*__*_/mux_bottom_track_*/sram_inv +set_disable_timing fpga_top/sb_*__*_/mux_left_track_*/sram_inv +set_disable_timing fpga_top/cbx_*__*_/mux_bottom_ipin_*/sram_inv +set_disable_timing fpga_top/cbx_*__*_/mux_bottom_ipin_*/sram_inv +set_disable_timing fpga_top/cbx_*__*_/mux_top_ipin_*/sram_inv +set_disable_timing fpga_top/cbx_*__*_/mux_top_ipin_*/sram_inv +set_disable_timing fpga_top/cby_*__*_/mux_left_ipin_*/sram_inv +set_disable_timing fpga_top/cby_*__*_/mux_left_ipin_*/sram_inv +set_disable_timing fpga_top/cby_*__*_/mux_right_ipin_*/sram_inv +set_disable_timing fpga_top/cby_*__*_/mux_right_ipin_*/sram_inv +set_disable_timing fpga_top/sb_*__*_/mux_left_track_*/sram +set_disable_timing fpga_top/sb_*__*_/mux_right_track_*/sram +set_disable_timing fpga_top/sb_*__*_/mux_bottom_track_*/sram +set_disable_timing fpga_top/sb_*__*_/mux_left_track_*/sram +set_disable_timing fpga_top/sb_*__*_/mux_top_track_*/sram +set_disable_timing fpga_top/sb_*__*_/mux_left_track_*/sram_inv +set_disable_timing fpga_top/sb_*__*_/mux_right_track_*/sram_inv +set_disable_timing fpga_top/sb_*__*_/mux_bottom_track_*/sram_inv +set_disable_timing fpga_top/sb_*__*_/mux_left_track_*/sram_inv +set_disable_timing fpga_top/sb_*__*_/mux_top_track_*/sram_inv +set_disable_timing fpga_top/sb_*__*_/mux_top_track_*/sram +set_disable_timing fpga_top/sb_*__*_/mux_bottom_track_*/sram +set_disable_timing fpga_top/sb_*__*_/mux_right_track_*/sram +set_disable_timing fpga_top/sb_*__*_/mux_left_track_*/sram +set_disable_timing fpga_top/sb_*__*_/mux_right_track_*/sram +set_disable_timing fpga_top/sb_*__*_/mux_left_track_*/sram +set_disable_timing fpga_top/sb_*__*_/mux_right_track_*/sram +set_disable_timing fpga_top/sb_*__*_/mux_left_track_*/sram +set_disable_timing fpga_top/sb_*__*_/mux_top_track_*/sram +set_disable_timing fpga_top/sb_*__*_/mux_bottom_track_*/sram +set_disable_timing fpga_top/sb_*__*_/mux_top_track_*/sram_inv +set_disable_timing fpga_top/sb_*__*_/mux_bottom_track_*/sram_inv +set_disable_timing fpga_top/sb_*__*_/mux_right_track_*/sram_inv +set_disable_timing fpga_top/sb_*__*_/mux_left_track_*/sram_inv +set_disable_timing fpga_top/sb_*__*_/mux_right_track_*/sram_inv +set_disable_timing fpga_top/sb_*__*_/mux_left_track_*/sram_inv +set_disable_timing fpga_top/sb_*__*_/mux_right_track_*/sram_inv +set_disable_timing fpga_top/sb_*__*_/mux_left_track_*/sram_inv +set_disable_timing fpga_top/sb_*__*_/mux_top_track_*/sram_inv +set_disable_timing fpga_top/sb_*__*_/mux_bottom_track_*/sram_inv +set_disable_timing fpga_top/sb_*__*_/mux_top_track_*/sram +set_disable_timing fpga_top/sb_*__*_/mux_bottom_track_*/sram +set_disable_timing fpga_top/sb_*__*_/mux_right_track_*/sram +set_disable_timing fpga_top/sb_*__*_/mux_top_track_*/sram +set_disable_timing fpga_top/sb_*__*_/mux_bottom_track_*/sram +set_disable_timing fpga_top/sb_*__*_/mux_right_track_*/sram +set_disable_timing fpga_top/sb_*__*_/mux_left_track_*/sram +set_disable_timing fpga_top/sb_*__*_/mux_bottom_track_*/sram +set_disable_timing fpga_top/sb_*__*_/mux_top_track_*/sram_inv +set_disable_timing fpga_top/sb_*__*_/mux_bottom_track_*/sram_inv +set_disable_timing fpga_top/sb_*__*_/mux_right_track_*/sram_inv +set_disable_timing fpga_top/sb_*__*_/mux_top_track_*/sram_inv +set_disable_timing fpga_top/sb_*__*_/mux_bottom_track_*/sram_inv +set_disable_timing fpga_top/sb_*__*_/mux_right_track_*/sram_inv +set_disable_timing fpga_top/sb_*__*_/mux_left_track_*/sram_inv +set_disable_timing fpga_top/sb_*__*_/mux_bottom_track_*/sram_inv +set_disable_timing fpga_top/sb_*__*_/mux_top_track_*/sram +set_disable_timing fpga_top/sb_*__*_/mux_right_track_*/sram +set_disable_timing fpga_top/sb_*__*_/mux_left_track_*/sram +set_disable_timing fpga_top/sb_*__*_/mux_top_track_*/sram_inv +set_disable_timing fpga_top/sb_*__*_/mux_right_track_*/sram_inv +set_disable_timing fpga_top/sb_*__*_/mux_left_track_*/sram_inv +set_disable_timing fpga_top/sb_*__*_/mux_right_track_*/sram +set_disable_timing fpga_top/sb_*__*_/mux_top_track_*/sram +set_disable_timing fpga_top/sb_*__*_/mux_bottom_track_*/sram +set_disable_timing fpga_top/sb_*__*_/mux_left_track_*/sram +set_disable_timing fpga_top/sb_*__*_/mux_right_track_*/sram_inv +set_disable_timing fpga_top/sb_*__*_/mux_top_track_*/sram_inv +set_disable_timing fpga_top/sb_*__*_/mux_bottom_track_*/sram_inv +set_disable_timing fpga_top/sb_*__*_/mux_left_track_*/sram_inv +set_disable_timing fpga_top/sb_*__*_/mux_top_track_*/sram +set_disable_timing fpga_top/sb_*__*_/mux_top_track_*/sram_inv +set_disable_timing fpga_top/grid_clb_*__*_/logical_tile_clb_mode_clb__*/mux_fle_*_in_*/sram +set_disable_timing fpga_top/grid_clb_*__*_/logical_tile_clb_mode_clb__*/mux_fle_*_in_*/sram_inv +set_disable_timing fpga_top/grid_io_top_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_*_/DIR +set_disable_timing fpga_top/grid_io_right_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_*_/DIR +set_disable_timing fpga_top/grid_io_bottom_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_*_/DIR +set_disable_timing fpga_top/grid_io_left_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_*_/DIR diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/disable_routing_multiplexer_outputs.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/disable_routing_multiplexer_outputs.sdc new file mode 100644 index 000000000..ccc671867 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/disable_routing_multiplexer_outputs.sdc @@ -0,0 +1,74 @@ +############################################# +# Synopsys Design Constraints (SDC) +# For FPGA fabric +# Description: Disable routing multiplexer outputs for PnR +# Author: Xifan TANG +# Organization: University of Utah +############################################# + +set_disable_timing fpga_top/sb_*__*_/mux_top_track_*/out +set_disable_timing fpga_top/cbx_*__*_/mux_bottom_ipin_*/out +set_disable_timing fpga_top/cbx_*__*_/mux_top_ipin_*/out +set_disable_timing fpga_top/cbx_*__*_/mux_bottom_ipin_*/out +set_disable_timing fpga_top/cbx_*__*_/mux_top_ipin_*/out +set_disable_timing fpga_top/cbx_*__*_/mux_bottom_ipin_*/out +set_disable_timing fpga_top/cbx_*__*_/mux_top_ipin_*/out +set_disable_timing fpga_top/cby_*__*_/mux_left_ipin_*/out +set_disable_timing fpga_top/cby_*__*_/mux_right_ipin_*/out +set_disable_timing fpga_top/cby_*__*_/mux_left_ipin_*/out +set_disable_timing fpga_top/cby_*__*_/mux_right_ipin_*/out +set_disable_timing fpga_top/cby_*__*_/mux_left_ipin_*/out +set_disable_timing fpga_top/cby_*__*_/mux_right_ipin_*/out +set_disable_timing fpga_top/grid_clb_*__*_/logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_n*_lut*__ble*_*/mux_ble*_out_*/out +set_disable_timing fpga_top/sb_*__*_/mux_top_track_*/out +set_disable_timing fpga_top/sb_*__*_/mux_right_track_*/out +set_disable_timing fpga_top/sb_*__*_/mux_right_track_*/out +set_disable_timing fpga_top/sb_*__*_/mux_right_track_*/out +set_disable_timing fpga_top/sb_*__*_/mux_bottom_track_*/out +set_disable_timing fpga_top/sb_*__*_/mux_top_track_*/out +set_disable_timing fpga_top/sb_*__*_/mux_bottom_track_*/out +set_disable_timing fpga_top/sb_*__*_/mux_top_track_*/out +set_disable_timing fpga_top/sb_*__*_/mux_left_track_*/out +set_disable_timing fpga_top/sb_*__*_/mux_left_track_*/out +set_disable_timing fpga_top/sb_*__*_/mux_bottom_track_*/out +set_disable_timing fpga_top/sb_*__*_/mux_left_track_*/out +set_disable_timing fpga_top/cbx_*__*_/mux_bottom_ipin_*/out +set_disable_timing fpga_top/cbx_*__*_/mux_bottom_ipin_*/out +set_disable_timing fpga_top/cbx_*__*_/mux_top_ipin_*/out +set_disable_timing fpga_top/cbx_*__*_/mux_top_ipin_*/out +set_disable_timing fpga_top/cby_*__*_/mux_left_ipin_*/out +set_disable_timing fpga_top/cby_*__*_/mux_left_ipin_*/out +set_disable_timing fpga_top/cby_*__*_/mux_right_ipin_*/out +set_disable_timing fpga_top/cby_*__*_/mux_right_ipin_*/out +set_disable_timing fpga_top/sb_*__*_/mux_left_track_*/out +set_disable_timing fpga_top/sb_*__*_/mux_right_track_*/out +set_disable_timing fpga_top/sb_*__*_/mux_bottom_track_*/out +set_disable_timing fpga_top/sb_*__*_/mux_left_track_*/out +set_disable_timing fpga_top/sb_*__*_/mux_top_track_*/out +set_disable_timing fpga_top/sb_*__*_/mux_top_track_*/out +set_disable_timing fpga_top/sb_*__*_/mux_bottom_track_*/out +set_disable_timing fpga_top/sb_*__*_/mux_right_track_*/out +set_disable_timing fpga_top/sb_*__*_/mux_left_track_*/out +set_disable_timing fpga_top/sb_*__*_/mux_right_track_*/out +set_disable_timing fpga_top/sb_*__*_/mux_left_track_*/out +set_disable_timing fpga_top/sb_*__*_/mux_right_track_*/out +set_disable_timing fpga_top/sb_*__*_/mux_left_track_*/out +set_disable_timing fpga_top/sb_*__*_/mux_top_track_*/out +set_disable_timing fpga_top/sb_*__*_/mux_bottom_track_*/out +set_disable_timing fpga_top/sb_*__*_/mux_top_track_*/out +set_disable_timing fpga_top/sb_*__*_/mux_bottom_track_*/out +set_disable_timing fpga_top/sb_*__*_/mux_right_track_*/out +set_disable_timing fpga_top/sb_*__*_/mux_top_track_*/out +set_disable_timing fpga_top/sb_*__*_/mux_bottom_track_*/out +set_disable_timing fpga_top/sb_*__*_/mux_right_track_*/out +set_disable_timing fpga_top/sb_*__*_/mux_left_track_*/out +set_disable_timing fpga_top/sb_*__*_/mux_bottom_track_*/out +set_disable_timing fpga_top/sb_*__*_/mux_top_track_*/out +set_disable_timing fpga_top/sb_*__*_/mux_right_track_*/out +set_disable_timing fpga_top/sb_*__*_/mux_left_track_*/out +set_disable_timing fpga_top/sb_*__*_/mux_right_track_*/out +set_disable_timing fpga_top/sb_*__*_/mux_top_track_*/out +set_disable_timing fpga_top/sb_*__*_/mux_bottom_track_*/out +set_disable_timing fpga_top/sb_*__*_/mux_left_track_*/out +set_disable_timing fpga_top/sb_*__*_/mux_top_track_*/out +set_disable_timing fpga_top/grid_clb_*__*_/logical_tile_clb_mode_clb__*/mux_fle_*_in_*/out diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/disable_sb_outputs.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/disable_sb_outputs.sdc new file mode 100644 index 000000000..4c2c11e9f --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/disable_sb_outputs.sdc @@ -0,0 +1,74 @@ +############################################# +# Synopsys Design Constraints (SDC) +# For FPGA fabric +# Description: Disable Switch Block outputs for PnR +# Author: Xifan TANG +# Organization: University of Utah +############################################# + +set_disable_timing fpga_top/sb_*__*_/chany_top_out + +set_disable_timing fpga_top/sb_*__*_/chanx_right_out + +set_disable_timing fpga_top/sb_*__*_/ccff_tail + +set_disable_timing fpga_top/sb_*__*_/chany_top_out + +set_disable_timing fpga_top/sb_*__*_/chanx_right_out + +set_disable_timing fpga_top/sb_*__*_/chany_bottom_out + +set_disable_timing fpga_top/sb_*__*_/ccff_tail + +set_disable_timing fpga_top/sb_*__*_/chanx_right_out + +set_disable_timing fpga_top/sb_*__*_/chany_bottom_out + +set_disable_timing fpga_top/sb_*__*_/ccff_tail + +set_disable_timing fpga_top/sb_*__*_/chany_top_out + +set_disable_timing fpga_top/sb_*__*_/chanx_right_out + +set_disable_timing fpga_top/sb_*__*_/chanx_left_out + +set_disable_timing fpga_top/sb_*__*_/ccff_tail + +set_disable_timing fpga_top/sb_*__*_/chany_top_out + +set_disable_timing fpga_top/sb_*__*_/chanx_right_out + +set_disable_timing fpga_top/sb_*__*_/chany_bottom_out + +set_disable_timing fpga_top/sb_*__*_/chanx_left_out + +set_disable_timing fpga_top/sb_*__*_/ccff_tail + +set_disable_timing fpga_top/sb_*__*_/chanx_right_out + +set_disable_timing fpga_top/sb_*__*_/chany_bottom_out + +set_disable_timing fpga_top/sb_*__*_/chanx_left_out + +set_disable_timing fpga_top/sb_*__*_/ccff_tail + +set_disable_timing fpga_top/sb_*__*_/chany_top_out + +set_disable_timing fpga_top/sb_*__*_/chanx_left_out + +set_disable_timing fpga_top/sb_*__*_/ccff_tail + +set_disable_timing fpga_top/sb_*__*_/chany_top_out + +set_disable_timing fpga_top/sb_*__*_/chany_bottom_out + +set_disable_timing fpga_top/sb_*__*_/chanx_left_out + +set_disable_timing fpga_top/sb_*__*_/ccff_tail + +set_disable_timing fpga_top/sb_*__*_/chany_bottom_out + +set_disable_timing fpga_top/sb_*__*_/chanx_left_out + +set_disable_timing fpga_top/sb_*__*_/ccff_tail + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/fabric_bitstream.bit b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/fabric_bitstream.bit new file mode 100644 index 000000000..d1e57fc8b --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/fabric_bitstream.bit @@ -0,0 +1,4213 @@ +// Fabric bitstream +// Bitstream length: 4210 +// Bitstream width (LSB -> MSB): 1 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +1 +1 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +1 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +1 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +1 +0 +1 +0 +1 +0 +1 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +1 +1 +1 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +1 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +1 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +1 +1 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +1 +1 +1 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +1 +1 +0 +0 +1 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +1 +1 +1 +1 +1 +1 +1 +1 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +1 +1 +1 +1 +1 +1 +1 +1 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +1 +1 +1 +1 +1 +1 +1 +1 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +1 +1 +1 +1 +1 +1 +1 +1 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +1 +1 +1 +1 +1 +1 +1 +1 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +1 +1 +1 +1 +1 +1 +1 +1 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +1 +1 +1 +1 +1 +1 +1 +1 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +1 +1 +1 +1 +1 +1 +1 +1 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +0 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/fabric_bitstream.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/fabric_bitstream.xml new file mode 100644 index 000000000..4d1a66d38 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/fabric_bitstream.xml @@ -0,0 +1,8430 @@ +<!-- + - Fabric bitstream + - Author: Xifan TANG + - Organization: University of Utah +--> + +<fabric_bitstream> + <region id="0"> + <bit id="4209" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[3]"> + </bit> + <bit id="4208" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[2]"> + </bit> + <bit id="4207" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[1]"> + </bit> + <bit id="4206" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[0]"> + </bit> + <bit id="4205" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[3]"> + </bit> + <bit id="4204" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[2]"> + </bit> + <bit id="4203" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[1]"> + </bit> + <bit id="4202" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[0]"> + </bit> + <bit id="4201" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[3]"> + </bit> + <bit id="4200" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[2]"> + </bit> + <bit id="4199" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[1]"> + </bit> + <bit id="4198" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[0]"> + </bit> + <bit id="4197" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[3]"> + </bit> + <bit id="4196" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[2]"> + </bit> + <bit id="4195" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[1]"> + </bit> + <bit id="4194" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[0]"> + </bit> + <bit id="4193" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[3]"> + </bit> + <bit id="4192" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[2]"> + </bit> + <bit id="4191" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[1]"> + </bit> + <bit id="4190" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[0]"> + </bit> + <bit id="4189" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[3]"> + </bit> + <bit id="4188" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[2]"> + </bit> + <bit id="4187" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[1]"> + </bit> + <bit id="4186" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[0]"> + </bit> + <bit id="4185" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[3]"> + </bit> + <bit id="4184" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[2]"> + </bit> + <bit id="4183" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[1]"> + </bit> + <bit id="4182" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[0]"> + </bit> + <bit id="4181" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[3]"> + </bit> + <bit id="4180" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[2]"> + </bit> + <bit id="4179" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[1]"> + </bit> + <bit id="4178" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[0]"> + </bit> + <bit id="4177" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[3]"> + </bit> + <bit id="4176" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[2]"> + </bit> + <bit id="4175" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[1]"> + </bit> + <bit id="4174" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[0]"> + </bit> + <bit id="4173" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[3]"> + </bit> + <bit id="4172" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[2]"> + </bit> + <bit id="4171" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[1]"> + </bit> + <bit id="4170" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[0]"> + </bit> + <bit id="4169" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[3]"> + </bit> + <bit id="4168" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[2]"> + </bit> + <bit id="4167" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[1]"> + </bit> + <bit id="4166" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[0]"> + </bit> + <bit id="4165" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[3]"> + </bit> + <bit id="4164" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[2]"> + </bit> + <bit id="4163" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[1]"> + </bit> + <bit id="4162" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[0]"> + </bit> + <bit id="4161" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[3]"> + </bit> + <bit id="4160" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[2]"> + </bit> + <bit id="4159" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[1]"> + </bit> + <bit id="4158" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[0]"> + </bit> + <bit id="4157" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[3]"> + </bit> + <bit id="4156" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[2]"> + </bit> + <bit id="4155" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[1]"> + </bit> + <bit id="4154" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[0]"> + </bit> + <bit id="4153" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[3]"> + </bit> + <bit id="4152" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[2]"> + </bit> + <bit id="4151" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[1]"> + </bit> + <bit id="4150" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[0]"> + </bit> + <bit id="4149" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[3]"> + </bit> + <bit id="4148" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[2]"> + </bit> + <bit id="4147" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[1]"> + </bit> + <bit id="4146" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[0]"> + </bit> + <bit id="4145" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="4144" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="4143" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="4142" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="4141" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="4140" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="4139" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="4138" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="4137" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="4136" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="4135" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="4134" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="4133" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="4132" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="4131" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="4130" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="4129" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="4128" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="4127" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="4126" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="4125" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="4124" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="4123" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="4122" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="4121" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="4120" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="4119" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="4118" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="4117" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="4116" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="4115" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="4114" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="4113" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="4112" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="4111" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="4110" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="4109" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="4108" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="4107" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="4106" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="4105" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="4104" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="4103" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="4102" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="4101" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="4100" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="4099" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="4098" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="4097" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="4096" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="4095" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="4094" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="4093" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="4092" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="4091" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="4090" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="4089" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="4088" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="4087" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="4086" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="4085" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="4084" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="4083" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="4082" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="4081" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="4080" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="4079" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="4078" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="4077" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="4076" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="4075" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="4074" value="0" path="fpga_top.grid_clb_1__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="4073" value="0" path="fpga_top.cby_1__4_.mem_right_ipin_2.mem_out[1]"> + </bit> + <bit id="4072" value="0" path="fpga_top.cby_1__4_.mem_right_ipin_2.mem_out[0]"> + </bit> + <bit id="4071" value="0" path="fpga_top.cby_1__4_.mem_right_ipin_1.mem_out[1]"> + </bit> + <bit id="4070" value="0" path="fpga_top.cby_1__4_.mem_right_ipin_1.mem_out[0]"> + </bit> + <bit id="4069" value="0" path="fpga_top.cby_1__4_.mem_right_ipin_0.mem_out[2]"> + </bit> + <bit id="4068" value="0" path="fpga_top.cby_1__4_.mem_right_ipin_0.mem_out[1]"> + </bit> + <bit id="4067" value="0" path="fpga_top.cby_1__4_.mem_right_ipin_0.mem_out[0]"> + </bit> + <bit id="4066" value="0" path="fpga_top.cby_1__4_.mem_left_ipin_1.mem_out[1]"> + </bit> + <bit id="4065" value="0" path="fpga_top.cby_1__4_.mem_left_ipin_1.mem_out[0]"> + </bit> + <bit id="4064" value="0" path="fpga_top.cby_1__4_.mem_left_ipin_0.mem_out[2]"> + </bit> + <bit id="4063" value="0" path="fpga_top.cby_1__4_.mem_left_ipin_0.mem_out[1]"> + </bit> + <bit id="4062" value="0" path="fpga_top.cby_1__4_.mem_left_ipin_0.mem_out[0]"> + </bit> + <bit id="4061" value="0" path="fpga_top.cbx_1__3_.mem_top_ipin_2.mem_out[1]"> + </bit> + <bit id="4060" value="0" path="fpga_top.cbx_1__3_.mem_top_ipin_2.mem_out[0]"> + </bit> + <bit id="4059" value="0" path="fpga_top.cbx_1__3_.mem_top_ipin_1.mem_out[2]"> + </bit> + <bit id="4058" value="0" path="fpga_top.cbx_1__3_.mem_top_ipin_1.mem_out[1]"> + </bit> + <bit id="4057" value="0" path="fpga_top.cbx_1__3_.mem_top_ipin_1.mem_out[0]"> + </bit> + <bit id="4056" value="0" path="fpga_top.cbx_1__3_.mem_top_ipin_0.mem_out[2]"> + </bit> + <bit id="4055" value="0" path="fpga_top.cbx_1__3_.mem_top_ipin_0.mem_out[1]"> + </bit> + <bit id="4054" value="0" path="fpga_top.cbx_1__3_.mem_top_ipin_0.mem_out[0]"> + </bit> + <bit id="4053" value="0" path="fpga_top.cbx_1__3_.mem_bottom_ipin_2.mem_out[2]"> + </bit> + <bit id="4052" value="0" path="fpga_top.cbx_1__3_.mem_bottom_ipin_2.mem_out[1]"> + </bit> + <bit id="4051" value="0" path="fpga_top.cbx_1__3_.mem_bottom_ipin_2.mem_out[0]"> + </bit> + <bit id="4050" value="0" path="fpga_top.cbx_1__3_.mem_bottom_ipin_1.mem_out[1]"> + </bit> + <bit id="4049" value="0" path="fpga_top.cbx_1__3_.mem_bottom_ipin_1.mem_out[0]"> + </bit> + <bit id="4048" value="0" path="fpga_top.cbx_1__3_.mem_bottom_ipin_0.mem_out[2]"> + </bit> + <bit id="4047" value="0" path="fpga_top.cbx_1__3_.mem_bottom_ipin_0.mem_out[1]"> + </bit> + <bit id="4046" value="0" path="fpga_top.cbx_1__3_.mem_bottom_ipin_0.mem_out[0]"> + </bit> + <bit id="4045" value="0" path="fpga_top.sb_1__3_.mem_left_track_17.mem_out[3]"> + </bit> + <bit id="4044" value="0" path="fpga_top.sb_1__3_.mem_left_track_17.mem_out[2]"> + </bit> + <bit id="4043" value="0" path="fpga_top.sb_1__3_.mem_left_track_17.mem_out[1]"> + </bit> + <bit id="4042" value="0" path="fpga_top.sb_1__3_.mem_left_track_17.mem_out[0]"> + </bit> + <bit id="4041" value="0" path="fpga_top.sb_1__3_.mem_left_track_9.mem_out[3]"> + </bit> + <bit id="4040" value="0" path="fpga_top.sb_1__3_.mem_left_track_9.mem_out[2]"> + </bit> + <bit id="4039" value="0" path="fpga_top.sb_1__3_.mem_left_track_9.mem_out[1]"> + </bit> + <bit id="4038" value="0" path="fpga_top.sb_1__3_.mem_left_track_9.mem_out[0]"> + </bit> + <bit id="4037" value="0" path="fpga_top.sb_1__3_.mem_left_track_1.mem_out[3]"> + </bit> + <bit id="4036" value="0" path="fpga_top.sb_1__3_.mem_left_track_1.mem_out[2]"> + </bit> + <bit id="4035" value="0" path="fpga_top.sb_1__3_.mem_left_track_1.mem_out[1]"> + </bit> + <bit id="4034" value="0" path="fpga_top.sb_1__3_.mem_left_track_1.mem_out[0]"> + </bit> + <bit id="4033" value="0" path="fpga_top.sb_1__3_.mem_bottom_track_17.mem_out[3]"> + </bit> + <bit id="4032" value="0" path="fpga_top.sb_1__3_.mem_bottom_track_17.mem_out[2]"> + </bit> + <bit id="4031" value="0" path="fpga_top.sb_1__3_.mem_bottom_track_17.mem_out[1]"> + </bit> + <bit id="4030" value="0" path="fpga_top.sb_1__3_.mem_bottom_track_17.mem_out[0]"> + </bit> + <bit id="4029" value="0" path="fpga_top.sb_1__3_.mem_bottom_track_9.mem_out[3]"> + </bit> + <bit id="4028" value="0" path="fpga_top.sb_1__3_.mem_bottom_track_9.mem_out[2]"> + </bit> + <bit id="4027" value="0" path="fpga_top.sb_1__3_.mem_bottom_track_9.mem_out[1]"> + </bit> + <bit id="4026" value="0" path="fpga_top.sb_1__3_.mem_bottom_track_9.mem_out[0]"> + </bit> + <bit id="4025" value="0" path="fpga_top.sb_1__3_.mem_bottom_track_1.mem_out[3]"> + </bit> + <bit id="4024" value="0" path="fpga_top.sb_1__3_.mem_bottom_track_1.mem_out[2]"> + </bit> + <bit id="4023" value="0" path="fpga_top.sb_1__3_.mem_bottom_track_1.mem_out[1]"> + </bit> + <bit id="4022" value="0" path="fpga_top.sb_1__3_.mem_bottom_track_1.mem_out[0]"> + </bit> + <bit id="4021" value="0" path="fpga_top.sb_1__3_.mem_right_track_16.mem_out[3]"> + </bit> + <bit id="4020" value="0" path="fpga_top.sb_1__3_.mem_right_track_16.mem_out[2]"> + </bit> + <bit id="4019" value="0" path="fpga_top.sb_1__3_.mem_right_track_16.mem_out[1]"> + </bit> + <bit id="4018" value="0" path="fpga_top.sb_1__3_.mem_right_track_16.mem_out[0]"> + </bit> + <bit id="4017" value="0" path="fpga_top.sb_1__3_.mem_right_track_8.mem_out[3]"> + </bit> + <bit id="4016" value="0" path="fpga_top.sb_1__3_.mem_right_track_8.mem_out[2]"> + </bit> + <bit id="4015" value="0" path="fpga_top.sb_1__3_.mem_right_track_8.mem_out[1]"> + </bit> + <bit id="4014" value="0" path="fpga_top.sb_1__3_.mem_right_track_8.mem_out[0]"> + </bit> + <bit id="4013" value="0" path="fpga_top.sb_1__3_.mem_right_track_0.mem_out[3]"> + </bit> + <bit id="4012" value="0" path="fpga_top.sb_1__3_.mem_right_track_0.mem_out[2]"> + </bit> + <bit id="4011" value="0" path="fpga_top.sb_1__3_.mem_right_track_0.mem_out[1]"> + </bit> + <bit id="4010" value="0" path="fpga_top.sb_1__3_.mem_right_track_0.mem_out[0]"> + </bit> + <bit id="4009" value="0" path="fpga_top.sb_1__3_.mem_top_track_16.mem_out[3]"> + </bit> + <bit id="4008" value="0" path="fpga_top.sb_1__3_.mem_top_track_16.mem_out[2]"> + </bit> + <bit id="4007" value="0" path="fpga_top.sb_1__3_.mem_top_track_16.mem_out[1]"> + </bit> + <bit id="4006" value="0" path="fpga_top.sb_1__3_.mem_top_track_16.mem_out[0]"> + </bit> + <bit id="4005" value="0" path="fpga_top.sb_1__3_.mem_top_track_8.mem_out[3]"> + </bit> + <bit id="4004" value="0" path="fpga_top.sb_1__3_.mem_top_track_8.mem_out[2]"> + </bit> + <bit id="4003" value="0" path="fpga_top.sb_1__3_.mem_top_track_8.mem_out[1]"> + </bit> + <bit id="4002" value="0" path="fpga_top.sb_1__3_.mem_top_track_8.mem_out[0]"> + </bit> + <bit id="4001" value="0" path="fpga_top.sb_1__3_.mem_top_track_0.mem_out[3]"> + </bit> + <bit id="4000" value="0" path="fpga_top.sb_1__3_.mem_top_track_0.mem_out[2]"> + </bit> + <bit id="3999" value="0" path="fpga_top.sb_1__3_.mem_top_track_0.mem_out[1]"> + </bit> + <bit id="3998" value="0" path="fpga_top.sb_1__3_.mem_top_track_0.mem_out[0]"> + </bit> + <bit id="3997" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[3]"> + </bit> + <bit id="3996" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[2]"> + </bit> + <bit id="3995" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[1]"> + </bit> + <bit id="3994" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[0]"> + </bit> + <bit id="3993" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[3]"> + </bit> + <bit id="3992" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[2]"> + </bit> + <bit id="3991" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[1]"> + </bit> + <bit id="3990" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[0]"> + </bit> + <bit id="3989" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[3]"> + </bit> + <bit id="3988" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[2]"> + </bit> + <bit id="3987" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[1]"> + </bit> + <bit id="3986" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[0]"> + </bit> + <bit id="3985" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[3]"> + </bit> + <bit id="3984" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[2]"> + </bit> + <bit id="3983" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[1]"> + </bit> + <bit id="3982" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[0]"> + </bit> + <bit id="3981" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[3]"> + </bit> + <bit id="3980" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[2]"> + </bit> + <bit id="3979" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[1]"> + </bit> + <bit id="3978" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[0]"> + </bit> + <bit id="3977" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[3]"> + </bit> + <bit id="3976" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[2]"> + </bit> + <bit id="3975" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[1]"> + </bit> + <bit id="3974" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[0]"> + </bit> + <bit id="3973" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[3]"> + </bit> + <bit id="3972" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[2]"> + </bit> + <bit id="3971" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[1]"> + </bit> + <bit id="3970" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[0]"> + </bit> + <bit id="3969" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[3]"> + </bit> + <bit id="3968" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[2]"> + </bit> + <bit id="3967" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[1]"> + </bit> + <bit id="3966" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[0]"> + </bit> + <bit id="3965" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[3]"> + </bit> + <bit id="3964" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[2]"> + </bit> + <bit id="3963" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[1]"> + </bit> + <bit id="3962" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[0]"> + </bit> + <bit id="3961" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[3]"> + </bit> + <bit id="3960" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[2]"> + </bit> + <bit id="3959" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[1]"> + </bit> + <bit id="3958" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[0]"> + </bit> + <bit id="3957" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[3]"> + </bit> + <bit id="3956" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[2]"> + </bit> + <bit id="3955" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[1]"> + </bit> + <bit id="3954" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[0]"> + </bit> + <bit id="3953" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[3]"> + </bit> + <bit id="3952" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[2]"> + </bit> + <bit id="3951" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[1]"> + </bit> + <bit id="3950" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[0]"> + </bit> + <bit id="3949" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[3]"> + </bit> + <bit id="3948" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[2]"> + </bit> + <bit id="3947" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[1]"> + </bit> + <bit id="3946" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[0]"> + </bit> + <bit id="3945" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[3]"> + </bit> + <bit id="3944" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[2]"> + </bit> + <bit id="3943" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[1]"> + </bit> + <bit id="3942" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[0]"> + </bit> + <bit id="3941" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[3]"> + </bit> + <bit id="3940" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[2]"> + </bit> + <bit id="3939" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[1]"> + </bit> + <bit id="3938" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[0]"> + </bit> + <bit id="3937" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[3]"> + </bit> + <bit id="3936" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[2]"> + </bit> + <bit id="3935" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[1]"> + </bit> + <bit id="3934" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[0]"> + </bit> + <bit id="3933" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="3932" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="3931" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="3930" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="3929" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="3928" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="3927" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="3926" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="3925" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="3924" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="3923" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="3922" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="3921" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="3920" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="3919" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="3918" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="3917" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="3916" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="3915" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="3914" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="3913" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="3912" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="3911" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="3910" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="3909" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="3908" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="3907" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="3906" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="3905" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="3904" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="3903" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="3902" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="3901" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="3900" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="3899" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="3898" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="3897" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="3896" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="3895" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="3894" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="3893" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="3892" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="3891" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="3890" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="3889" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="3888" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="3887" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="3886" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="3885" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="3884" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="3883" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="3882" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="3881" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="3880" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="3879" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="3878" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="3877" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="3876" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="3875" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="3874" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="3873" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="3872" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="3871" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="3870" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="3869" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="3868" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="3867" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="3866" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="3865" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="3864" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="3863" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="3862" value="0" path="fpga_top.grid_clb_2__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="3861" value="0" path="fpga_top.cby_2__4_.mem_right_ipin_2.mem_out[1]"> + </bit> + <bit id="3860" value="0" path="fpga_top.cby_2__4_.mem_right_ipin_2.mem_out[0]"> + </bit> + <bit id="3859" value="0" path="fpga_top.cby_2__4_.mem_right_ipin_1.mem_out[1]"> + </bit> + <bit id="3858" value="0" path="fpga_top.cby_2__4_.mem_right_ipin_1.mem_out[0]"> + </bit> + <bit id="3857" value="0" path="fpga_top.cby_2__4_.mem_right_ipin_0.mem_out[2]"> + </bit> + <bit id="3856" value="0" path="fpga_top.cby_2__4_.mem_right_ipin_0.mem_out[1]"> + </bit> + <bit id="3855" value="0" path="fpga_top.cby_2__4_.mem_right_ipin_0.mem_out[0]"> + </bit> + <bit id="3854" value="0" path="fpga_top.cby_2__4_.mem_left_ipin_1.mem_out[1]"> + </bit> + <bit id="3853" value="0" path="fpga_top.cby_2__4_.mem_left_ipin_1.mem_out[0]"> + </bit> + <bit id="3852" value="0" path="fpga_top.cby_2__4_.mem_left_ipin_0.mem_out[2]"> + </bit> + <bit id="3851" value="0" path="fpga_top.cby_2__4_.mem_left_ipin_0.mem_out[1]"> + </bit> + <bit id="3850" value="0" path="fpga_top.cby_2__4_.mem_left_ipin_0.mem_out[0]"> + </bit> + <bit id="3849" value="0" path="fpga_top.cbx_2__3_.mem_top_ipin_2.mem_out[1]"> + </bit> + <bit id="3848" value="0" path="fpga_top.cbx_2__3_.mem_top_ipin_2.mem_out[0]"> + </bit> + <bit id="3847" value="0" path="fpga_top.cbx_2__3_.mem_top_ipin_1.mem_out[2]"> + </bit> + <bit id="3846" value="0" path="fpga_top.cbx_2__3_.mem_top_ipin_1.mem_out[1]"> + </bit> + <bit id="3845" value="0" path="fpga_top.cbx_2__3_.mem_top_ipin_1.mem_out[0]"> + </bit> + <bit id="3844" value="0" path="fpga_top.cbx_2__3_.mem_top_ipin_0.mem_out[2]"> + </bit> + <bit id="3843" value="0" path="fpga_top.cbx_2__3_.mem_top_ipin_0.mem_out[1]"> + </bit> + <bit id="3842" value="0" path="fpga_top.cbx_2__3_.mem_top_ipin_0.mem_out[0]"> + </bit> + <bit id="3841" value="0" path="fpga_top.cbx_2__3_.mem_bottom_ipin_2.mem_out[2]"> + </bit> + <bit id="3840" value="0" path="fpga_top.cbx_2__3_.mem_bottom_ipin_2.mem_out[1]"> + </bit> + <bit id="3839" value="0" path="fpga_top.cbx_2__3_.mem_bottom_ipin_2.mem_out[0]"> + </bit> + <bit id="3838" value="0" path="fpga_top.cbx_2__3_.mem_bottom_ipin_1.mem_out[1]"> + </bit> + <bit id="3837" value="0" path="fpga_top.cbx_2__3_.mem_bottom_ipin_1.mem_out[0]"> + </bit> + <bit id="3836" value="0" path="fpga_top.cbx_2__3_.mem_bottom_ipin_0.mem_out[2]"> + </bit> + <bit id="3835" value="0" path="fpga_top.cbx_2__3_.mem_bottom_ipin_0.mem_out[1]"> + </bit> + <bit id="3834" value="0" path="fpga_top.cbx_2__3_.mem_bottom_ipin_0.mem_out[0]"> + </bit> + <bit id="3833" value="0" path="fpga_top.sb_2__3_.mem_left_track_17.mem_out[3]"> + </bit> + <bit id="3832" value="0" path="fpga_top.sb_2__3_.mem_left_track_17.mem_out[2]"> + </bit> + <bit id="3831" value="0" path="fpga_top.sb_2__3_.mem_left_track_17.mem_out[1]"> + </bit> + <bit id="3830" value="0" path="fpga_top.sb_2__3_.mem_left_track_17.mem_out[0]"> + </bit> + <bit id="3829" value="0" path="fpga_top.sb_2__3_.mem_left_track_9.mem_out[3]"> + </bit> + <bit id="3828" value="0" path="fpga_top.sb_2__3_.mem_left_track_9.mem_out[2]"> + </bit> + <bit id="3827" value="0" path="fpga_top.sb_2__3_.mem_left_track_9.mem_out[1]"> + </bit> + <bit id="3826" value="0" path="fpga_top.sb_2__3_.mem_left_track_9.mem_out[0]"> + </bit> + <bit id="3825" value="0" path="fpga_top.sb_2__3_.mem_left_track_1.mem_out[3]"> + </bit> + <bit id="3824" value="0" path="fpga_top.sb_2__3_.mem_left_track_1.mem_out[2]"> + </bit> + <bit id="3823" value="0" path="fpga_top.sb_2__3_.mem_left_track_1.mem_out[1]"> + </bit> + <bit id="3822" value="0" path="fpga_top.sb_2__3_.mem_left_track_1.mem_out[0]"> + </bit> + <bit id="3821" value="0" path="fpga_top.sb_2__3_.mem_bottom_track_17.mem_out[3]"> + </bit> + <bit id="3820" value="0" path="fpga_top.sb_2__3_.mem_bottom_track_17.mem_out[2]"> + </bit> + <bit id="3819" value="0" path="fpga_top.sb_2__3_.mem_bottom_track_17.mem_out[1]"> + </bit> + <bit id="3818" value="0" path="fpga_top.sb_2__3_.mem_bottom_track_17.mem_out[0]"> + </bit> + <bit id="3817" value="0" path="fpga_top.sb_2__3_.mem_bottom_track_9.mem_out[3]"> + </bit> + <bit id="3816" value="0" path="fpga_top.sb_2__3_.mem_bottom_track_9.mem_out[2]"> + </bit> + <bit id="3815" value="0" path="fpga_top.sb_2__3_.mem_bottom_track_9.mem_out[1]"> + </bit> + <bit id="3814" value="0" path="fpga_top.sb_2__3_.mem_bottom_track_9.mem_out[0]"> + </bit> + <bit id="3813" value="0" path="fpga_top.sb_2__3_.mem_bottom_track_1.mem_out[3]"> + </bit> + <bit id="3812" value="0" path="fpga_top.sb_2__3_.mem_bottom_track_1.mem_out[2]"> + </bit> + <bit id="3811" value="0" path="fpga_top.sb_2__3_.mem_bottom_track_1.mem_out[1]"> + </bit> + <bit id="3810" value="0" path="fpga_top.sb_2__3_.mem_bottom_track_1.mem_out[0]"> + </bit> + <bit id="3809" value="0" path="fpga_top.sb_2__3_.mem_right_track_16.mem_out[3]"> + </bit> + <bit id="3808" value="0" path="fpga_top.sb_2__3_.mem_right_track_16.mem_out[2]"> + </bit> + <bit id="3807" value="0" path="fpga_top.sb_2__3_.mem_right_track_16.mem_out[1]"> + </bit> + <bit id="3806" value="0" path="fpga_top.sb_2__3_.mem_right_track_16.mem_out[0]"> + </bit> + <bit id="3805" value="0" path="fpga_top.sb_2__3_.mem_right_track_8.mem_out[3]"> + </bit> + <bit id="3804" value="0" path="fpga_top.sb_2__3_.mem_right_track_8.mem_out[2]"> + </bit> + <bit id="3803" value="0" path="fpga_top.sb_2__3_.mem_right_track_8.mem_out[1]"> + </bit> + <bit id="3802" value="0" path="fpga_top.sb_2__3_.mem_right_track_8.mem_out[0]"> + </bit> + <bit id="3801" value="0" path="fpga_top.sb_2__3_.mem_right_track_0.mem_out[3]"> + </bit> + <bit id="3800" value="0" path="fpga_top.sb_2__3_.mem_right_track_0.mem_out[2]"> + </bit> + <bit id="3799" value="0" path="fpga_top.sb_2__3_.mem_right_track_0.mem_out[1]"> + </bit> + <bit id="3798" value="0" path="fpga_top.sb_2__3_.mem_right_track_0.mem_out[0]"> + </bit> + <bit id="3797" value="0" path="fpga_top.sb_2__3_.mem_top_track_16.mem_out[3]"> + </bit> + <bit id="3796" value="0" path="fpga_top.sb_2__3_.mem_top_track_16.mem_out[2]"> + </bit> + <bit id="3795" value="0" path="fpga_top.sb_2__3_.mem_top_track_16.mem_out[1]"> + </bit> + <bit id="3794" value="0" path="fpga_top.sb_2__3_.mem_top_track_16.mem_out[0]"> + </bit> + <bit id="3793" value="0" path="fpga_top.sb_2__3_.mem_top_track_8.mem_out[3]"> + </bit> + <bit id="3792" value="0" path="fpga_top.sb_2__3_.mem_top_track_8.mem_out[2]"> + </bit> + <bit id="3791" value="0" path="fpga_top.sb_2__3_.mem_top_track_8.mem_out[1]"> + </bit> + <bit id="3790" value="0" path="fpga_top.sb_2__3_.mem_top_track_8.mem_out[0]"> + </bit> + <bit id="3789" value="0" path="fpga_top.sb_2__3_.mem_top_track_0.mem_out[3]"> + </bit> + <bit id="3788" value="0" path="fpga_top.sb_2__3_.mem_top_track_0.mem_out[2]"> + </bit> + <bit id="3787" value="0" path="fpga_top.sb_2__3_.mem_top_track_0.mem_out[1]"> + </bit> + <bit id="3786" value="0" path="fpga_top.sb_2__3_.mem_top_track_0.mem_out[0]"> + </bit> + <bit id="3785" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[3]"> + </bit> + <bit id="3784" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[2]"> + </bit> + <bit id="3783" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[1]"> + </bit> + <bit id="3782" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[0]"> + </bit> + <bit id="3781" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[3]"> + </bit> + <bit id="3780" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[2]"> + </bit> + <bit id="3779" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[1]"> + </bit> + <bit id="3778" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[0]"> + </bit> + <bit id="3777" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[3]"> + </bit> + <bit id="3776" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[2]"> + </bit> + <bit id="3775" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[1]"> + </bit> + <bit id="3774" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[0]"> + </bit> + <bit id="3773" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[3]"> + </bit> + <bit id="3772" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[2]"> + </bit> + <bit id="3771" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[1]"> + </bit> + <bit id="3770" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[0]"> + </bit> + <bit id="3769" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[3]"> + </bit> + <bit id="3768" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[2]"> + </bit> + <bit id="3767" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[1]"> + </bit> + <bit id="3766" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[0]"> + </bit> + <bit id="3765" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[3]"> + </bit> + <bit id="3764" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[2]"> + </bit> + <bit id="3763" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[1]"> + </bit> + <bit id="3762" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[0]"> + </bit> + <bit id="3761" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[3]"> + </bit> + <bit id="3760" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[2]"> + </bit> + <bit id="3759" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[1]"> + </bit> + <bit id="3758" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[0]"> + </bit> + <bit id="3757" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[3]"> + </bit> + <bit id="3756" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[2]"> + </bit> + <bit id="3755" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[1]"> + </bit> + <bit id="3754" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[0]"> + </bit> + <bit id="3753" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[3]"> + </bit> + <bit id="3752" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[2]"> + </bit> + <bit id="3751" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[1]"> + </bit> + <bit id="3750" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[0]"> + </bit> + <bit id="3749" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[3]"> + </bit> + <bit id="3748" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[2]"> + </bit> + <bit id="3747" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[1]"> + </bit> + <bit id="3746" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[0]"> + </bit> + <bit id="3745" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[3]"> + </bit> + <bit id="3744" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[2]"> + </bit> + <bit id="3743" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[1]"> + </bit> + <bit id="3742" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[0]"> + </bit> + <bit id="3741" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[3]"> + </bit> + <bit id="3740" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[2]"> + </bit> + <bit id="3739" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[1]"> + </bit> + <bit id="3738" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[0]"> + </bit> + <bit id="3737" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[3]"> + </bit> + <bit id="3736" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[2]"> + </bit> + <bit id="3735" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[1]"> + </bit> + <bit id="3734" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[0]"> + </bit> + <bit id="3733" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[3]"> + </bit> + <bit id="3732" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[2]"> + </bit> + <bit id="3731" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[1]"> + </bit> + <bit id="3730" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[0]"> + </bit> + <bit id="3729" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[3]"> + </bit> + <bit id="3728" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[2]"> + </bit> + <bit id="3727" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[1]"> + </bit> + <bit id="3726" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[0]"> + </bit> + <bit id="3725" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[3]"> + </bit> + <bit id="3724" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[2]"> + </bit> + <bit id="3723" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[1]"> + </bit> + <bit id="3722" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[0]"> + </bit> + <bit id="3721" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="3720" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="3719" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="3718" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="3717" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="3716" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="3715" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="3714" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="3713" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="3712" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="3711" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="3710" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="3709" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="3708" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="3707" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="3706" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="3705" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="3704" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="3703" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="3702" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="3701" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="3700" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="3699" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="3698" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="3697" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="3696" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="3695" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="3694" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="3693" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="3692" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="3691" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="3690" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="3689" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="3688" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="3687" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="3686" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="3685" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="3684" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="3683" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="3682" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="3681" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="3680" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="3679" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="3678" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="3677" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="3676" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="3675" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="3674" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="3673" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="3672" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="3671" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="3670" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="3669" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="3668" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="3667" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="3666" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="3665" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="3664" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="3663" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="3662" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="3661" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="3660" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="3659" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="3658" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="3657" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="3656" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="3655" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="3654" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="3653" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="3652" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="3651" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="3650" value="0" path="fpga_top.grid_clb_3__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="3649" value="0" path="fpga_top.cby_3__4_.mem_right_ipin_2.mem_out[1]"> + </bit> + <bit id="3648" value="0" path="fpga_top.cby_3__4_.mem_right_ipin_2.mem_out[0]"> + </bit> + <bit id="3647" value="0" path="fpga_top.cby_3__4_.mem_right_ipin_1.mem_out[1]"> + </bit> + <bit id="3646" value="0" path="fpga_top.cby_3__4_.mem_right_ipin_1.mem_out[0]"> + </bit> + <bit id="3645" value="0" path="fpga_top.cby_3__4_.mem_right_ipin_0.mem_out[2]"> + </bit> + <bit id="3644" value="0" path="fpga_top.cby_3__4_.mem_right_ipin_0.mem_out[1]"> + </bit> + <bit id="3643" value="0" path="fpga_top.cby_3__4_.mem_right_ipin_0.mem_out[0]"> + </bit> + <bit id="3642" value="0" path="fpga_top.cby_3__4_.mem_left_ipin_1.mem_out[1]"> + </bit> + <bit id="3641" value="0" path="fpga_top.cby_3__4_.mem_left_ipin_1.mem_out[0]"> + </bit> + <bit id="3640" value="0" path="fpga_top.cby_3__4_.mem_left_ipin_0.mem_out[2]"> + </bit> + <bit id="3639" value="0" path="fpga_top.cby_3__4_.mem_left_ipin_0.mem_out[1]"> + </bit> + <bit id="3638" value="0" path="fpga_top.cby_3__4_.mem_left_ipin_0.mem_out[0]"> + </bit> + <bit id="3637" value="0" path="fpga_top.cbx_3__3_.mem_top_ipin_2.mem_out[1]"> + </bit> + <bit id="3636" value="0" path="fpga_top.cbx_3__3_.mem_top_ipin_2.mem_out[0]"> + </bit> + <bit id="3635" value="0" path="fpga_top.cbx_3__3_.mem_top_ipin_1.mem_out[2]"> + </bit> + <bit id="3634" value="0" path="fpga_top.cbx_3__3_.mem_top_ipin_1.mem_out[1]"> + </bit> + <bit id="3633" value="0" path="fpga_top.cbx_3__3_.mem_top_ipin_1.mem_out[0]"> + </bit> + <bit id="3632" value="0" path="fpga_top.cbx_3__3_.mem_top_ipin_0.mem_out[2]"> + </bit> + <bit id="3631" value="0" path="fpga_top.cbx_3__3_.mem_top_ipin_0.mem_out[1]"> + </bit> + <bit id="3630" value="0" path="fpga_top.cbx_3__3_.mem_top_ipin_0.mem_out[0]"> + </bit> + <bit id="3629" value="0" path="fpga_top.cbx_3__3_.mem_bottom_ipin_2.mem_out[2]"> + </bit> + <bit id="3628" value="0" path="fpga_top.cbx_3__3_.mem_bottom_ipin_2.mem_out[1]"> + </bit> + <bit id="3627" value="0" path="fpga_top.cbx_3__3_.mem_bottom_ipin_2.mem_out[0]"> + </bit> + <bit id="3626" value="0" path="fpga_top.cbx_3__3_.mem_bottom_ipin_1.mem_out[1]"> + </bit> + <bit id="3625" value="0" path="fpga_top.cbx_3__3_.mem_bottom_ipin_1.mem_out[0]"> + </bit> + <bit id="3624" value="0" path="fpga_top.cbx_3__3_.mem_bottom_ipin_0.mem_out[2]"> + </bit> + <bit id="3623" value="0" path="fpga_top.cbx_3__3_.mem_bottom_ipin_0.mem_out[1]"> + </bit> + <bit id="3622" value="0" path="fpga_top.cbx_3__3_.mem_bottom_ipin_0.mem_out[0]"> + </bit> + <bit id="3621" value="0" path="fpga_top.sb_3__3_.mem_left_track_17.mem_out[3]"> + </bit> + <bit id="3620" value="0" path="fpga_top.sb_3__3_.mem_left_track_17.mem_out[2]"> + </bit> + <bit id="3619" value="0" path="fpga_top.sb_3__3_.mem_left_track_17.mem_out[1]"> + </bit> + <bit id="3618" value="0" path="fpga_top.sb_3__3_.mem_left_track_17.mem_out[0]"> + </bit> + <bit id="3617" value="0" path="fpga_top.sb_3__3_.mem_left_track_9.mem_out[3]"> + </bit> + <bit id="3616" value="0" path="fpga_top.sb_3__3_.mem_left_track_9.mem_out[2]"> + </bit> + <bit id="3615" value="0" path="fpga_top.sb_3__3_.mem_left_track_9.mem_out[1]"> + </bit> + <bit id="3614" value="0" path="fpga_top.sb_3__3_.mem_left_track_9.mem_out[0]"> + </bit> + <bit id="3613" value="0" path="fpga_top.sb_3__3_.mem_left_track_1.mem_out[3]"> + </bit> + <bit id="3612" value="0" path="fpga_top.sb_3__3_.mem_left_track_1.mem_out[2]"> + </bit> + <bit id="3611" value="0" path="fpga_top.sb_3__3_.mem_left_track_1.mem_out[1]"> + </bit> + <bit id="3610" value="0" path="fpga_top.sb_3__3_.mem_left_track_1.mem_out[0]"> + </bit> + <bit id="3609" value="0" path="fpga_top.sb_3__3_.mem_bottom_track_17.mem_out[3]"> + </bit> + <bit id="3608" value="0" path="fpga_top.sb_3__3_.mem_bottom_track_17.mem_out[2]"> + </bit> + <bit id="3607" value="0" path="fpga_top.sb_3__3_.mem_bottom_track_17.mem_out[1]"> + </bit> + <bit id="3606" value="0" path="fpga_top.sb_3__3_.mem_bottom_track_17.mem_out[0]"> + </bit> + <bit id="3605" value="0" path="fpga_top.sb_3__3_.mem_bottom_track_9.mem_out[3]"> + </bit> + <bit id="3604" value="0" path="fpga_top.sb_3__3_.mem_bottom_track_9.mem_out[2]"> + </bit> + <bit id="3603" value="0" path="fpga_top.sb_3__3_.mem_bottom_track_9.mem_out[1]"> + </bit> + <bit id="3602" value="0" path="fpga_top.sb_3__3_.mem_bottom_track_9.mem_out[0]"> + </bit> + <bit id="3601" value="0" path="fpga_top.sb_3__3_.mem_bottom_track_1.mem_out[3]"> + </bit> + <bit id="3600" value="0" path="fpga_top.sb_3__3_.mem_bottom_track_1.mem_out[2]"> + </bit> + <bit id="3599" value="0" path="fpga_top.sb_3__3_.mem_bottom_track_1.mem_out[1]"> + </bit> + <bit id="3598" value="0" path="fpga_top.sb_3__3_.mem_bottom_track_1.mem_out[0]"> + </bit> + <bit id="3597" value="0" path="fpga_top.sb_3__3_.mem_right_track_16.mem_out[3]"> + </bit> + <bit id="3596" value="0" path="fpga_top.sb_3__3_.mem_right_track_16.mem_out[2]"> + </bit> + <bit id="3595" value="0" path="fpga_top.sb_3__3_.mem_right_track_16.mem_out[1]"> + </bit> + <bit id="3594" value="0" path="fpga_top.sb_3__3_.mem_right_track_16.mem_out[0]"> + </bit> + <bit id="3593" value="0" path="fpga_top.sb_3__3_.mem_right_track_8.mem_out[3]"> + </bit> + <bit id="3592" value="0" path="fpga_top.sb_3__3_.mem_right_track_8.mem_out[2]"> + </bit> + <bit id="3591" value="0" path="fpga_top.sb_3__3_.mem_right_track_8.mem_out[1]"> + </bit> + <bit id="3590" value="0" path="fpga_top.sb_3__3_.mem_right_track_8.mem_out[0]"> + </bit> + <bit id="3589" value="0" path="fpga_top.sb_3__3_.mem_right_track_0.mem_out[3]"> + </bit> + <bit id="3588" value="0" path="fpga_top.sb_3__3_.mem_right_track_0.mem_out[2]"> + </bit> + <bit id="3587" value="0" path="fpga_top.sb_3__3_.mem_right_track_0.mem_out[1]"> + </bit> + <bit id="3586" value="0" path="fpga_top.sb_3__3_.mem_right_track_0.mem_out[0]"> + </bit> + <bit id="3585" value="0" path="fpga_top.sb_3__3_.mem_top_track_16.mem_out[3]"> + </bit> + <bit id="3584" value="0" path="fpga_top.sb_3__3_.mem_top_track_16.mem_out[2]"> + </bit> + <bit id="3583" value="0" path="fpga_top.sb_3__3_.mem_top_track_16.mem_out[1]"> + </bit> + <bit id="3582" value="0" path="fpga_top.sb_3__3_.mem_top_track_16.mem_out[0]"> + </bit> + <bit id="3581" value="0" path="fpga_top.sb_3__3_.mem_top_track_8.mem_out[3]"> + </bit> + <bit id="3580" value="0" path="fpga_top.sb_3__3_.mem_top_track_8.mem_out[2]"> + </bit> + <bit id="3579" value="0" path="fpga_top.sb_3__3_.mem_top_track_8.mem_out[1]"> + </bit> + <bit id="3578" value="0" path="fpga_top.sb_3__3_.mem_top_track_8.mem_out[0]"> + </bit> + <bit id="3577" value="0" path="fpga_top.sb_3__3_.mem_top_track_0.mem_out[3]"> + </bit> + <bit id="3576" value="0" path="fpga_top.sb_3__3_.mem_top_track_0.mem_out[2]"> + </bit> + <bit id="3575" value="0" path="fpga_top.sb_3__3_.mem_top_track_0.mem_out[1]"> + </bit> + <bit id="3574" value="0" path="fpga_top.sb_3__3_.mem_top_track_0.mem_out[0]"> + </bit> + <bit id="3573" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[3]"> + </bit> + <bit id="3572" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[2]"> + </bit> + <bit id="3571" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[1]"> + </bit> + <bit id="3570" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[0]"> + </bit> + <bit id="3569" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[3]"> + </bit> + <bit id="3568" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[2]"> + </bit> + <bit id="3567" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[1]"> + </bit> + <bit id="3566" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[0]"> + </bit> + <bit id="3565" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[3]"> + </bit> + <bit id="3564" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[2]"> + </bit> + <bit id="3563" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[1]"> + </bit> + <bit id="3562" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[0]"> + </bit> + <bit id="3561" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[3]"> + </bit> + <bit id="3560" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[2]"> + </bit> + <bit id="3559" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[1]"> + </bit> + <bit id="3558" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[0]"> + </bit> + <bit id="3557" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[3]"> + </bit> + <bit id="3556" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[2]"> + </bit> + <bit id="3555" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[1]"> + </bit> + <bit id="3554" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[0]"> + </bit> + <bit id="3553" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[3]"> + </bit> + <bit id="3552" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[2]"> + </bit> + <bit id="3551" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[1]"> + </bit> + <bit id="3550" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[0]"> + </bit> + <bit id="3549" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[3]"> + </bit> + <bit id="3548" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[2]"> + </bit> + <bit id="3547" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[1]"> + </bit> + <bit id="3546" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[0]"> + </bit> + <bit id="3545" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[3]"> + </bit> + <bit id="3544" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[2]"> + </bit> + <bit id="3543" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[1]"> + </bit> + <bit id="3542" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[0]"> + </bit> + <bit id="3541" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[3]"> + </bit> + <bit id="3540" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[2]"> + </bit> + <bit id="3539" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[1]"> + </bit> + <bit id="3538" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[0]"> + </bit> + <bit id="3537" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[3]"> + </bit> + <bit id="3536" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[2]"> + </bit> + <bit id="3535" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[1]"> + </bit> + <bit id="3534" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[0]"> + </bit> + <bit id="3533" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[3]"> + </bit> + <bit id="3532" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[2]"> + </bit> + <bit id="3531" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[1]"> + </bit> + <bit id="3530" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[0]"> + </bit> + <bit id="3529" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[3]"> + </bit> + <bit id="3528" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[2]"> + </bit> + <bit id="3527" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[1]"> + </bit> + <bit id="3526" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[0]"> + </bit> + <bit id="3525" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[3]"> + </bit> + <bit id="3524" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[2]"> + </bit> + <bit id="3523" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[1]"> + </bit> + <bit id="3522" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[0]"> + </bit> + <bit id="3521" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[3]"> + </bit> + <bit id="3520" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[2]"> + </bit> + <bit id="3519" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[1]"> + </bit> + <bit id="3518" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[0]"> + </bit> + <bit id="3517" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[3]"> + </bit> + <bit id="3516" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[2]"> + </bit> + <bit id="3515" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[1]"> + </bit> + <bit id="3514" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[0]"> + </bit> + <bit id="3513" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[3]"> + </bit> + <bit id="3512" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[2]"> + </bit> + <bit id="3511" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[1]"> + </bit> + <bit id="3510" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[0]"> + </bit> + <bit id="3509" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="3508" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="3507" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="3506" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="3505" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="3504" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="3503" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="3502" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="3501" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="3500" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="3499" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="3498" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="3497" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="3496" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="3495" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="3494" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="3493" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="3492" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="3491" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="3490" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="3489" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="3488" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="3487" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="3486" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="3485" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="3484" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="3483" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="3482" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="3481" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="3480" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="3479" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="3478" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="3477" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="3476" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="3475" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="3474" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="3473" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="3472" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="3471" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="3470" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="3469" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="3468" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="3467" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="3466" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="3465" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="3464" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="3463" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="3462" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="3461" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="3460" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="3459" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="3458" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="3457" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="3456" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="3455" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="3454" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="3453" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="3452" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="3451" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="3450" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="3449" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="3448" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="3447" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="3446" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="3445" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="3444" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="3443" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="3442" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="3441" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="3440" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="3439" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="3438" value="0" path="fpga_top.grid_clb_4__4_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="3437" value="0" path="fpga_top.cby_4__4_.mem_right_ipin_2.mem_out[1]"> + </bit> + <bit id="3436" value="0" path="fpga_top.cby_4__4_.mem_right_ipin_2.mem_out[0]"> + </bit> + <bit id="3435" value="0" path="fpga_top.cby_4__4_.mem_right_ipin_1.mem_out[1]"> + </bit> + <bit id="3434" value="0" path="fpga_top.cby_4__4_.mem_right_ipin_1.mem_out[0]"> + </bit> + <bit id="3433" value="0" path="fpga_top.cby_4__4_.mem_right_ipin_0.mem_out[2]"> + </bit> + <bit id="3432" value="0" path="fpga_top.cby_4__4_.mem_right_ipin_0.mem_out[1]"> + </bit> + <bit id="3431" value="0" path="fpga_top.cby_4__4_.mem_right_ipin_0.mem_out[0]"> + </bit> + <bit id="3430" value="0" path="fpga_top.cby_4__4_.mem_left_ipin_7.mem_out[2]"> + </bit> + <bit id="3429" value="0" path="fpga_top.cby_4__4_.mem_left_ipin_7.mem_out[1]"> + </bit> + <bit id="3428" value="0" path="fpga_top.cby_4__4_.mem_left_ipin_7.mem_out[0]"> + </bit> + <bit id="3427" value="0" path="fpga_top.cby_4__4_.mem_left_ipin_6.mem_out[2]"> + </bit> + <bit id="3426" value="0" path="fpga_top.cby_4__4_.mem_left_ipin_6.mem_out[1]"> + </bit> + <bit id="3425" value="0" path="fpga_top.cby_4__4_.mem_left_ipin_6.mem_out[0]"> + </bit> + <bit id="3424" value="0" path="fpga_top.cby_4__4_.mem_left_ipin_5.mem_out[2]"> + </bit> + <bit id="3423" value="0" path="fpga_top.cby_4__4_.mem_left_ipin_5.mem_out[1]"> + </bit> + <bit id="3422" value="0" path="fpga_top.cby_4__4_.mem_left_ipin_5.mem_out[0]"> + </bit> + <bit id="3421" value="0" path="fpga_top.cby_4__4_.mem_left_ipin_4.mem_out[2]"> + </bit> + <bit id="3420" value="0" path="fpga_top.cby_4__4_.mem_left_ipin_4.mem_out[1]"> + </bit> + <bit id="3419" value="0" path="fpga_top.cby_4__4_.mem_left_ipin_4.mem_out[0]"> + </bit> + <bit id="3418" value="0" path="fpga_top.cby_4__4_.mem_left_ipin_3.mem_out[2]"> + </bit> + <bit id="3417" value="0" path="fpga_top.cby_4__4_.mem_left_ipin_3.mem_out[1]"> + </bit> + <bit id="3416" value="0" path="fpga_top.cby_4__4_.mem_left_ipin_3.mem_out[0]"> + </bit> + <bit id="3415" value="0" path="fpga_top.cby_4__4_.mem_left_ipin_2.mem_out[2]"> + </bit> + <bit id="3414" value="0" path="fpga_top.cby_4__4_.mem_left_ipin_2.mem_out[1]"> + </bit> + <bit id="3413" value="0" path="fpga_top.cby_4__4_.mem_left_ipin_2.mem_out[0]"> + </bit> + <bit id="3412" value="0" path="fpga_top.cby_4__4_.mem_left_ipin_1.mem_out[2]"> + </bit> + <bit id="3411" value="0" path="fpga_top.cby_4__4_.mem_left_ipin_1.mem_out[1]"> + </bit> + <bit id="3410" value="0" path="fpga_top.cby_4__4_.mem_left_ipin_1.mem_out[0]"> + </bit> + <bit id="3409" value="0" path="fpga_top.cby_4__4_.mem_left_ipin_0.mem_out[2]"> + </bit> + <bit id="3408" value="0" path="fpga_top.cby_4__4_.mem_left_ipin_0.mem_out[1]"> + </bit> + <bit id="3407" value="0" path="fpga_top.cby_4__4_.mem_left_ipin_0.mem_out[0]"> + </bit> + <bit id="3406" value="0" path="fpga_top.cbx_4__3_.mem_top_ipin_2.mem_out[1]"> + </bit> + <bit id="3405" value="0" path="fpga_top.cbx_4__3_.mem_top_ipin_2.mem_out[0]"> + </bit> + <bit id="3404" value="0" path="fpga_top.cbx_4__3_.mem_top_ipin_1.mem_out[2]"> + </bit> + <bit id="3403" value="0" path="fpga_top.cbx_4__3_.mem_top_ipin_1.mem_out[1]"> + </bit> + <bit id="3402" value="0" path="fpga_top.cbx_4__3_.mem_top_ipin_1.mem_out[0]"> + </bit> + <bit id="3401" value="0" path="fpga_top.cbx_4__3_.mem_top_ipin_0.mem_out[2]"> + </bit> + <bit id="3400" value="0" path="fpga_top.cbx_4__3_.mem_top_ipin_0.mem_out[1]"> + </bit> + <bit id="3399" value="0" path="fpga_top.cbx_4__3_.mem_top_ipin_0.mem_out[0]"> + </bit> + <bit id="3398" value="0" path="fpga_top.cbx_4__3_.mem_bottom_ipin_2.mem_out[2]"> + </bit> + <bit id="3397" value="0" path="fpga_top.cbx_4__3_.mem_bottom_ipin_2.mem_out[1]"> + </bit> + <bit id="3396" value="0" path="fpga_top.cbx_4__3_.mem_bottom_ipin_2.mem_out[0]"> + </bit> + <bit id="3395" value="0" path="fpga_top.cbx_4__3_.mem_bottom_ipin_1.mem_out[1]"> + </bit> + <bit id="3394" value="0" path="fpga_top.cbx_4__3_.mem_bottom_ipin_1.mem_out[0]"> + </bit> + <bit id="3393" value="0" path="fpga_top.cbx_4__3_.mem_bottom_ipin_0.mem_out[2]"> + </bit> + <bit id="3392" value="0" path="fpga_top.cbx_4__3_.mem_bottom_ipin_0.mem_out[1]"> + </bit> + <bit id="3391" value="0" path="fpga_top.cbx_4__3_.mem_bottom_ipin_0.mem_out[0]"> + </bit> + <bit id="3390" value="0" path="fpga_top.sb_4__3_.mem_left_track_19.mem_out[1]"> + </bit> + <bit id="3389" value="0" path="fpga_top.sb_4__3_.mem_left_track_19.mem_out[0]"> + </bit> + <bit id="3388" value="0" path="fpga_top.sb_4__3_.mem_left_track_17.mem_out[1]"> + </bit> + <bit id="3387" value="0" path="fpga_top.sb_4__3_.mem_left_track_17.mem_out[0]"> + </bit> + <bit id="3386" value="0" path="fpga_top.sb_4__3_.mem_left_track_15.mem_out[1]"> + </bit> + <bit id="3385" value="0" path="fpga_top.sb_4__3_.mem_left_track_15.mem_out[0]"> + </bit> + <bit id="3384" value="0" path="fpga_top.sb_4__3_.mem_left_track_13.mem_out[1]"> + </bit> + <bit id="3383" value="0" path="fpga_top.sb_4__3_.mem_left_track_13.mem_out[0]"> + </bit> + <bit id="3382" value="0" path="fpga_top.sb_4__3_.mem_left_track_11.mem_out[1]"> + </bit> + <bit id="3381" value="0" path="fpga_top.sb_4__3_.mem_left_track_11.mem_out[0]"> + </bit> + <bit id="3380" value="0" path="fpga_top.sb_4__3_.mem_left_track_9.mem_out[1]"> + </bit> + <bit id="3379" value="0" path="fpga_top.sb_4__3_.mem_left_track_9.mem_out[0]"> + </bit> + <bit id="3378" value="0" path="fpga_top.sb_4__3_.mem_left_track_7.mem_out[1]"> + </bit> + <bit id="3377" value="0" path="fpga_top.sb_4__3_.mem_left_track_7.mem_out[0]"> + </bit> + <bit id="3376" value="0" path="fpga_top.sb_4__3_.mem_left_track_5.mem_out[1]"> + </bit> + <bit id="3375" value="0" path="fpga_top.sb_4__3_.mem_left_track_5.mem_out[0]"> + </bit> + <bit id="3374" value="0" path="fpga_top.sb_4__3_.mem_left_track_3.mem_out[1]"> + </bit> + <bit id="3373" value="0" path="fpga_top.sb_4__3_.mem_left_track_3.mem_out[0]"> + </bit> + <bit id="3372" value="0" path="fpga_top.sb_4__3_.mem_left_track_1.mem_out[1]"> + </bit> + <bit id="3371" value="0" path="fpga_top.sb_4__3_.mem_left_track_1.mem_out[0]"> + </bit> + <bit id="3370" value="0" path="fpga_top.sb_4__3_.mem_bottom_track_17.mem_out[3]"> + </bit> + <bit id="3369" value="0" path="fpga_top.sb_4__3_.mem_bottom_track_17.mem_out[2]"> + </bit> + <bit id="3368" value="0" path="fpga_top.sb_4__3_.mem_bottom_track_17.mem_out[1]"> + </bit> + <bit id="3367" value="0" path="fpga_top.sb_4__3_.mem_bottom_track_17.mem_out[0]"> + </bit> + <bit id="3366" value="0" path="fpga_top.sb_4__3_.mem_bottom_track_9.mem_out[3]"> + </bit> + <bit id="3365" value="0" path="fpga_top.sb_4__3_.mem_bottom_track_9.mem_out[2]"> + </bit> + <bit id="3364" value="0" path="fpga_top.sb_4__3_.mem_bottom_track_9.mem_out[1]"> + </bit> + <bit id="3363" value="0" path="fpga_top.sb_4__3_.mem_bottom_track_9.mem_out[0]"> + </bit> + <bit id="3362" value="0" path="fpga_top.sb_4__3_.mem_bottom_track_1.mem_out[3]"> + </bit> + <bit id="3361" value="0" path="fpga_top.sb_4__3_.mem_bottom_track_1.mem_out[2]"> + </bit> + <bit id="3360" value="0" path="fpga_top.sb_4__3_.mem_bottom_track_1.mem_out[1]"> + </bit> + <bit id="3359" value="0" path="fpga_top.sb_4__3_.mem_bottom_track_1.mem_out[0]"> + </bit> + <bit id="3358" value="0" path="fpga_top.sb_4__3_.mem_top_track_16.mem_out[3]"> + </bit> + <bit id="3357" value="0" path="fpga_top.sb_4__3_.mem_top_track_16.mem_out[2]"> + </bit> + <bit id="3356" value="0" path="fpga_top.sb_4__3_.mem_top_track_16.mem_out[1]"> + </bit> + <bit id="3355" value="0" path="fpga_top.sb_4__3_.mem_top_track_16.mem_out[0]"> + </bit> + <bit id="3354" value="0" path="fpga_top.sb_4__3_.mem_top_track_8.mem_out[3]"> + </bit> + <bit id="3353" value="0" path="fpga_top.sb_4__3_.mem_top_track_8.mem_out[2]"> + </bit> + <bit id="3352" value="0" path="fpga_top.sb_4__3_.mem_top_track_8.mem_out[1]"> + </bit> + <bit id="3351" value="0" path="fpga_top.sb_4__3_.mem_top_track_8.mem_out[0]"> + </bit> + <bit id="3350" value="0" path="fpga_top.sb_4__3_.mem_top_track_0.mem_out[3]"> + </bit> + <bit id="3349" value="0" path="fpga_top.sb_4__3_.mem_top_track_0.mem_out[2]"> + </bit> + <bit id="3348" value="0" path="fpga_top.sb_4__3_.mem_top_track_0.mem_out[1]"> + </bit> + <bit id="3347" value="0" path="fpga_top.sb_4__3_.mem_top_track_0.mem_out[0]"> + </bit> + <bit id="3346" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[3]"> + </bit> + <bit id="3345" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[2]"> + </bit> + <bit id="3344" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[1]"> + </bit> + <bit id="3343" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[0]"> + </bit> + <bit id="3342" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[3]"> + </bit> + <bit id="3341" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[2]"> + </bit> + <bit id="3340" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[1]"> + </bit> + <bit id="3339" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[0]"> + </bit> + <bit id="3338" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[3]"> + </bit> + <bit id="3337" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[2]"> + </bit> + <bit id="3336" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[1]"> + </bit> + <bit id="3335" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[0]"> + </bit> + <bit id="3334" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[3]"> + </bit> + <bit id="3333" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[2]"> + </bit> + <bit id="3332" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[1]"> + </bit> + <bit id="3331" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[0]"> + </bit> + <bit id="3330" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[3]"> + </bit> + <bit id="3329" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[2]"> + </bit> + <bit id="3328" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[1]"> + </bit> + <bit id="3327" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[0]"> + </bit> + <bit id="3326" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[3]"> + </bit> + <bit id="3325" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[2]"> + </bit> + <bit id="3324" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[1]"> + </bit> + <bit id="3323" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[0]"> + </bit> + <bit id="3322" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[3]"> + </bit> + <bit id="3321" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[2]"> + </bit> + <bit id="3320" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[1]"> + </bit> + <bit id="3319" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[0]"> + </bit> + <bit id="3318" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[3]"> + </bit> + <bit id="3317" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[2]"> + </bit> + <bit id="3316" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[1]"> + </bit> + <bit id="3315" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[0]"> + </bit> + <bit id="3314" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[3]"> + </bit> + <bit id="3313" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[2]"> + </bit> + <bit id="3312" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[1]"> + </bit> + <bit id="3311" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[0]"> + </bit> + <bit id="3310" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[3]"> + </bit> + <bit id="3309" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[2]"> + </bit> + <bit id="3308" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[1]"> + </bit> + <bit id="3307" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[0]"> + </bit> + <bit id="3306" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[3]"> + </bit> + <bit id="3305" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[2]"> + </bit> + <bit id="3304" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[1]"> + </bit> + <bit id="3303" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[0]"> + </bit> + <bit id="3302" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[3]"> + </bit> + <bit id="3301" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[2]"> + </bit> + <bit id="3300" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[1]"> + </bit> + <bit id="3299" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[0]"> + </bit> + <bit id="3298" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[3]"> + </bit> + <bit id="3297" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[2]"> + </bit> + <bit id="3296" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[1]"> + </bit> + <bit id="3295" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[0]"> + </bit> + <bit id="3294" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[3]"> + </bit> + <bit id="3293" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[2]"> + </bit> + <bit id="3292" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[1]"> + </bit> + <bit id="3291" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[0]"> + </bit> + <bit id="3290" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[3]"> + </bit> + <bit id="3289" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[2]"> + </bit> + <bit id="3288" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[1]"> + </bit> + <bit id="3287" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[0]"> + </bit> + <bit id="3286" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[3]"> + </bit> + <bit id="3285" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[2]"> + </bit> + <bit id="3284" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[1]"> + </bit> + <bit id="3283" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[0]"> + </bit> + <bit id="3282" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="3281" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="3280" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="3279" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="3278" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="3277" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="3276" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="3275" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="3274" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="3273" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="3272" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="3271" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="3270" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="3269" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="3268" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="3267" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="3266" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="3265" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="3264" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="3263" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="3262" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="3261" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="3260" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="3259" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="3258" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="3257" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="3256" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="3255" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="3254" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="3253" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="3252" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="3251" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="3250" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="3249" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="3248" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="3247" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="3246" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="3245" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="3244" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="3243" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="3242" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="3241" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="3240" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="3239" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="3238" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="3237" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="3236" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="3235" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="3234" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="3233" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="3232" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="3231" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="3230" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="3229" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="3228" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="3227" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="3226" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="3225" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="3224" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="3223" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="3222" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="3221" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="3220" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="3219" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="3218" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="3217" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="3216" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="3215" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="3214" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="3213" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="3212" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="3211" value="0" path="fpga_top.grid_clb_4__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="3210" value="0" path="fpga_top.cby_4__3_.mem_right_ipin_2.mem_out[1]"> + </bit> + <bit id="3209" value="0" path="fpga_top.cby_4__3_.mem_right_ipin_2.mem_out[0]"> + </bit> + <bit id="3208" value="0" path="fpga_top.cby_4__3_.mem_right_ipin_1.mem_out[1]"> + </bit> + <bit id="3207" value="0" path="fpga_top.cby_4__3_.mem_right_ipin_1.mem_out[0]"> + </bit> + <bit id="3206" value="0" path="fpga_top.cby_4__3_.mem_right_ipin_0.mem_out[2]"> + </bit> + <bit id="3205" value="0" path="fpga_top.cby_4__3_.mem_right_ipin_0.mem_out[1]"> + </bit> + <bit id="3204" value="0" path="fpga_top.cby_4__3_.mem_right_ipin_0.mem_out[0]"> + </bit> + <bit id="3203" value="0" path="fpga_top.cby_4__3_.mem_left_ipin_7.mem_out[2]"> + </bit> + <bit id="3202" value="0" path="fpga_top.cby_4__3_.mem_left_ipin_7.mem_out[1]"> + </bit> + <bit id="3201" value="0" path="fpga_top.cby_4__3_.mem_left_ipin_7.mem_out[0]"> + </bit> + <bit id="3200" value="0" path="fpga_top.cby_4__3_.mem_left_ipin_6.mem_out[2]"> + </bit> + <bit id="3199" value="0" path="fpga_top.cby_4__3_.mem_left_ipin_6.mem_out[1]"> + </bit> + <bit id="3198" value="0" path="fpga_top.cby_4__3_.mem_left_ipin_6.mem_out[0]"> + </bit> + <bit id="3197" value="0" path="fpga_top.cby_4__3_.mem_left_ipin_5.mem_out[2]"> + </bit> + <bit id="3196" value="0" path="fpga_top.cby_4__3_.mem_left_ipin_5.mem_out[1]"> + </bit> + <bit id="3195" value="0" path="fpga_top.cby_4__3_.mem_left_ipin_5.mem_out[0]"> + </bit> + <bit id="3194" value="0" path="fpga_top.cby_4__3_.mem_left_ipin_4.mem_out[2]"> + </bit> + <bit id="3193" value="0" path="fpga_top.cby_4__3_.mem_left_ipin_4.mem_out[1]"> + </bit> + <bit id="3192" value="0" path="fpga_top.cby_4__3_.mem_left_ipin_4.mem_out[0]"> + </bit> + <bit id="3191" value="0" path="fpga_top.cby_4__3_.mem_left_ipin_3.mem_out[2]"> + </bit> + <bit id="3190" value="0" path="fpga_top.cby_4__3_.mem_left_ipin_3.mem_out[1]"> + </bit> + <bit id="3189" value="0" path="fpga_top.cby_4__3_.mem_left_ipin_3.mem_out[0]"> + </bit> + <bit id="3188" value="0" path="fpga_top.cby_4__3_.mem_left_ipin_2.mem_out[2]"> + </bit> + <bit id="3187" value="0" path="fpga_top.cby_4__3_.mem_left_ipin_2.mem_out[1]"> + </bit> + <bit id="3186" value="0" path="fpga_top.cby_4__3_.mem_left_ipin_2.mem_out[0]"> + </bit> + <bit id="3185" value="0" path="fpga_top.cby_4__3_.mem_left_ipin_1.mem_out[2]"> + </bit> + <bit id="3184" value="0" path="fpga_top.cby_4__3_.mem_left_ipin_1.mem_out[1]"> + </bit> + <bit id="3183" value="0" path="fpga_top.cby_4__3_.mem_left_ipin_1.mem_out[0]"> + </bit> + <bit id="3182" value="0" path="fpga_top.cby_4__3_.mem_left_ipin_0.mem_out[2]"> + </bit> + <bit id="3181" value="0" path="fpga_top.cby_4__3_.mem_left_ipin_0.mem_out[1]"> + </bit> + <bit id="3180" value="0" path="fpga_top.cby_4__3_.mem_left_ipin_0.mem_out[0]"> + </bit> + <bit id="3179" value="0" path="fpga_top.cbx_4__2_.mem_top_ipin_2.mem_out[1]"> + </bit> + <bit id="3178" value="0" path="fpga_top.cbx_4__2_.mem_top_ipin_2.mem_out[0]"> + </bit> + <bit id="3177" value="0" path="fpga_top.cbx_4__2_.mem_top_ipin_1.mem_out[2]"> + </bit> + <bit id="3176" value="0" path="fpga_top.cbx_4__2_.mem_top_ipin_1.mem_out[1]"> + </bit> + <bit id="3175" value="0" path="fpga_top.cbx_4__2_.mem_top_ipin_1.mem_out[0]"> + </bit> + <bit id="3174" value="0" path="fpga_top.cbx_4__2_.mem_top_ipin_0.mem_out[2]"> + </bit> + <bit id="3173" value="0" path="fpga_top.cbx_4__2_.mem_top_ipin_0.mem_out[1]"> + </bit> + <bit id="3172" value="0" path="fpga_top.cbx_4__2_.mem_top_ipin_0.mem_out[0]"> + </bit> + <bit id="3171" value="0" path="fpga_top.cbx_4__2_.mem_bottom_ipin_2.mem_out[2]"> + </bit> + <bit id="3170" value="0" path="fpga_top.cbx_4__2_.mem_bottom_ipin_2.mem_out[1]"> + </bit> + <bit id="3169" value="0" path="fpga_top.cbx_4__2_.mem_bottom_ipin_2.mem_out[0]"> + </bit> + <bit id="3168" value="0" path="fpga_top.cbx_4__2_.mem_bottom_ipin_1.mem_out[1]"> + </bit> + <bit id="3167" value="0" path="fpga_top.cbx_4__2_.mem_bottom_ipin_1.mem_out[0]"> + </bit> + <bit id="3166" value="0" path="fpga_top.cbx_4__2_.mem_bottom_ipin_0.mem_out[2]"> + </bit> + <bit id="3165" value="0" path="fpga_top.cbx_4__2_.mem_bottom_ipin_0.mem_out[1]"> + </bit> + <bit id="3164" value="0" path="fpga_top.cbx_4__2_.mem_bottom_ipin_0.mem_out[0]"> + </bit> + <bit id="3163" value="0" path="fpga_top.sb_4__2_.mem_left_track_19.mem_out[1]"> + </bit> + <bit id="3162" value="0" path="fpga_top.sb_4__2_.mem_left_track_19.mem_out[0]"> + </bit> + <bit id="3161" value="0" path="fpga_top.sb_4__2_.mem_left_track_17.mem_out[1]"> + </bit> + <bit id="3160" value="0" path="fpga_top.sb_4__2_.mem_left_track_17.mem_out[0]"> + </bit> + <bit id="3159" value="0" path="fpga_top.sb_4__2_.mem_left_track_15.mem_out[1]"> + </bit> + <bit id="3158" value="0" path="fpga_top.sb_4__2_.mem_left_track_15.mem_out[0]"> + </bit> + <bit id="3157" value="0" path="fpga_top.sb_4__2_.mem_left_track_13.mem_out[1]"> + </bit> + <bit id="3156" value="0" path="fpga_top.sb_4__2_.mem_left_track_13.mem_out[0]"> + </bit> + <bit id="3155" value="0" path="fpga_top.sb_4__2_.mem_left_track_11.mem_out[1]"> + </bit> + <bit id="3154" value="0" path="fpga_top.sb_4__2_.mem_left_track_11.mem_out[0]"> + </bit> + <bit id="3153" value="0" path="fpga_top.sb_4__2_.mem_left_track_9.mem_out[1]"> + </bit> + <bit id="3152" value="0" path="fpga_top.sb_4__2_.mem_left_track_9.mem_out[0]"> + </bit> + <bit id="3151" value="0" path="fpga_top.sb_4__2_.mem_left_track_7.mem_out[1]"> + </bit> + <bit id="3150" value="0" path="fpga_top.sb_4__2_.mem_left_track_7.mem_out[0]"> + </bit> + <bit id="3149" value="0" path="fpga_top.sb_4__2_.mem_left_track_5.mem_out[1]"> + </bit> + <bit id="3148" value="0" path="fpga_top.sb_4__2_.mem_left_track_5.mem_out[0]"> + </bit> + <bit id="3147" value="0" path="fpga_top.sb_4__2_.mem_left_track_3.mem_out[1]"> + </bit> + <bit id="3146" value="0" path="fpga_top.sb_4__2_.mem_left_track_3.mem_out[0]"> + </bit> + <bit id="3145" value="0" path="fpga_top.sb_4__2_.mem_left_track_1.mem_out[1]"> + </bit> + <bit id="3144" value="0" path="fpga_top.sb_4__2_.mem_left_track_1.mem_out[0]"> + </bit> + <bit id="3143" value="0" path="fpga_top.sb_4__2_.mem_bottom_track_17.mem_out[3]"> + </bit> + <bit id="3142" value="0" path="fpga_top.sb_4__2_.mem_bottom_track_17.mem_out[2]"> + </bit> + <bit id="3141" value="0" path="fpga_top.sb_4__2_.mem_bottom_track_17.mem_out[1]"> + </bit> + <bit id="3140" value="0" path="fpga_top.sb_4__2_.mem_bottom_track_17.mem_out[0]"> + </bit> + <bit id="3139" value="0" path="fpga_top.sb_4__2_.mem_bottom_track_9.mem_out[3]"> + </bit> + <bit id="3138" value="0" path="fpga_top.sb_4__2_.mem_bottom_track_9.mem_out[2]"> + </bit> + <bit id="3137" value="0" path="fpga_top.sb_4__2_.mem_bottom_track_9.mem_out[1]"> + </bit> + <bit id="3136" value="0" path="fpga_top.sb_4__2_.mem_bottom_track_9.mem_out[0]"> + </bit> + <bit id="3135" value="0" path="fpga_top.sb_4__2_.mem_bottom_track_1.mem_out[3]"> + </bit> + <bit id="3134" value="0" path="fpga_top.sb_4__2_.mem_bottom_track_1.mem_out[2]"> + </bit> + <bit id="3133" value="0" path="fpga_top.sb_4__2_.mem_bottom_track_1.mem_out[1]"> + </bit> + <bit id="3132" value="0" path="fpga_top.sb_4__2_.mem_bottom_track_1.mem_out[0]"> + </bit> + <bit id="3131" value="0" path="fpga_top.sb_4__2_.mem_top_track_16.mem_out[3]"> + </bit> + <bit id="3130" value="0" path="fpga_top.sb_4__2_.mem_top_track_16.mem_out[2]"> + </bit> + <bit id="3129" value="0" path="fpga_top.sb_4__2_.mem_top_track_16.mem_out[1]"> + </bit> + <bit id="3128" value="0" path="fpga_top.sb_4__2_.mem_top_track_16.mem_out[0]"> + </bit> + <bit id="3127" value="0" path="fpga_top.sb_4__2_.mem_top_track_8.mem_out[3]"> + </bit> + <bit id="3126" value="0" path="fpga_top.sb_4__2_.mem_top_track_8.mem_out[2]"> + </bit> + <bit id="3125" value="0" path="fpga_top.sb_4__2_.mem_top_track_8.mem_out[1]"> + </bit> + <bit id="3124" value="0" path="fpga_top.sb_4__2_.mem_top_track_8.mem_out[0]"> + </bit> + <bit id="3123" value="0" path="fpga_top.sb_4__2_.mem_top_track_0.mem_out[3]"> + </bit> + <bit id="3122" value="0" path="fpga_top.sb_4__2_.mem_top_track_0.mem_out[2]"> + </bit> + <bit id="3121" value="0" path="fpga_top.sb_4__2_.mem_top_track_0.mem_out[1]"> + </bit> + <bit id="3120" value="0" path="fpga_top.sb_4__2_.mem_top_track_0.mem_out[0]"> + </bit> + <bit id="3119" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[3]"> + </bit> + <bit id="3118" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[2]"> + </bit> + <bit id="3117" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[1]"> + </bit> + <bit id="3116" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[0]"> + </bit> + <bit id="3115" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[3]"> + </bit> + <bit id="3114" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[2]"> + </bit> + <bit id="3113" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[1]"> + </bit> + <bit id="3112" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[0]"> + </bit> + <bit id="3111" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[3]"> + </bit> + <bit id="3110" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[2]"> + </bit> + <bit id="3109" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[1]"> + </bit> + <bit id="3108" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[0]"> + </bit> + <bit id="3107" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[3]"> + </bit> + <bit id="3106" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[2]"> + </bit> + <bit id="3105" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[1]"> + </bit> + <bit id="3104" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[0]"> + </bit> + <bit id="3103" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[3]"> + </bit> + <bit id="3102" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[2]"> + </bit> + <bit id="3101" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[1]"> + </bit> + <bit id="3100" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[0]"> + </bit> + <bit id="3099" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[3]"> + </bit> + <bit id="3098" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[2]"> + </bit> + <bit id="3097" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[1]"> + </bit> + <bit id="3096" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[0]"> + </bit> + <bit id="3095" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[3]"> + </bit> + <bit id="3094" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[2]"> + </bit> + <bit id="3093" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[1]"> + </bit> + <bit id="3092" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[0]"> + </bit> + <bit id="3091" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[3]"> + </bit> + <bit id="3090" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[2]"> + </bit> + <bit id="3089" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[1]"> + </bit> + <bit id="3088" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[0]"> + </bit> + <bit id="3087" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[3]"> + </bit> + <bit id="3086" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[2]"> + </bit> + <bit id="3085" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[1]"> + </bit> + <bit id="3084" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[0]"> + </bit> + <bit id="3083" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[3]"> + </bit> + <bit id="3082" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[2]"> + </bit> + <bit id="3081" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[1]"> + </bit> + <bit id="3080" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[0]"> + </bit> + <bit id="3079" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[3]"> + </bit> + <bit id="3078" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[2]"> + </bit> + <bit id="3077" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[1]"> + </bit> + <bit id="3076" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[0]"> + </bit> + <bit id="3075" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[3]"> + </bit> + <bit id="3074" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[2]"> + </bit> + <bit id="3073" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[1]"> + </bit> + <bit id="3072" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[0]"> + </bit> + <bit id="3071" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[3]"> + </bit> + <bit id="3070" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[2]"> + </bit> + <bit id="3069" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[1]"> + </bit> + <bit id="3068" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[0]"> + </bit> + <bit id="3067" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[3]"> + </bit> + <bit id="3066" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[2]"> + </bit> + <bit id="3065" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[1]"> + </bit> + <bit id="3064" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[0]"> + </bit> + <bit id="3063" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[3]"> + </bit> + <bit id="3062" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[2]"> + </bit> + <bit id="3061" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[1]"> + </bit> + <bit id="3060" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[0]"> + </bit> + <bit id="3059" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[3]"> + </bit> + <bit id="3058" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[2]"> + </bit> + <bit id="3057" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[1]"> + </bit> + <bit id="3056" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[0]"> + </bit> + <bit id="3055" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="3054" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="3053" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="3052" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="3051" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="3050" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="3049" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="3048" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="3047" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="3046" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="3045" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="3044" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="3043" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="3042" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="3041" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="3040" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="3039" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="3038" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="3037" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="3036" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="3035" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="3034" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="3033" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="3032" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="3031" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="3030" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="3029" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="3028" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="3027" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="3026" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="3025" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="3024" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="3023" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="3022" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="3021" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="3020" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="3019" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="3018" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="3017" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="3016" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="3015" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="3014" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="3013" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="3012" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="3011" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="3010" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="3009" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="3008" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="3007" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="3006" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="3005" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="3004" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="3003" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="3002" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="3001" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="3000" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="2999" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="2998" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="2997" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="2996" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="2995" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="2994" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="2993" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="2992" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="2991" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="2990" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="2989" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="2988" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="2987" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="2986" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="2985" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="2984" value="0" path="fpga_top.grid_clb_3__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="2983" value="0" path="fpga_top.cby_3__3_.mem_right_ipin_2.mem_out[1]"> + </bit> + <bit id="2982" value="0" path="fpga_top.cby_3__3_.mem_right_ipin_2.mem_out[0]"> + </bit> + <bit id="2981" value="0" path="fpga_top.cby_3__3_.mem_right_ipin_1.mem_out[1]"> + </bit> + <bit id="2980" value="0" path="fpga_top.cby_3__3_.mem_right_ipin_1.mem_out[0]"> + </bit> + <bit id="2979" value="0" path="fpga_top.cby_3__3_.mem_right_ipin_0.mem_out[2]"> + </bit> + <bit id="2978" value="0" path="fpga_top.cby_3__3_.mem_right_ipin_0.mem_out[1]"> + </bit> + <bit id="2977" value="0" path="fpga_top.cby_3__3_.mem_right_ipin_0.mem_out[0]"> + </bit> + <bit id="2976" value="0" path="fpga_top.cby_3__3_.mem_left_ipin_1.mem_out[1]"> + </bit> + <bit id="2975" value="0" path="fpga_top.cby_3__3_.mem_left_ipin_1.mem_out[0]"> + </bit> + <bit id="2974" value="0" path="fpga_top.cby_3__3_.mem_left_ipin_0.mem_out[2]"> + </bit> + <bit id="2973" value="0" path="fpga_top.cby_3__3_.mem_left_ipin_0.mem_out[1]"> + </bit> + <bit id="2972" value="0" path="fpga_top.cby_3__3_.mem_left_ipin_0.mem_out[0]"> + </bit> + <bit id="2971" value="0" path="fpga_top.cbx_3__2_.mem_top_ipin_2.mem_out[1]"> + </bit> + <bit id="2970" value="0" path="fpga_top.cbx_3__2_.mem_top_ipin_2.mem_out[0]"> + </bit> + <bit id="2969" value="0" path="fpga_top.cbx_3__2_.mem_top_ipin_1.mem_out[2]"> + </bit> + <bit id="2968" value="0" path="fpga_top.cbx_3__2_.mem_top_ipin_1.mem_out[1]"> + </bit> + <bit id="2967" value="0" path="fpga_top.cbx_3__2_.mem_top_ipin_1.mem_out[0]"> + </bit> + <bit id="2966" value="0" path="fpga_top.cbx_3__2_.mem_top_ipin_0.mem_out[2]"> + </bit> + <bit id="2965" value="0" path="fpga_top.cbx_3__2_.mem_top_ipin_0.mem_out[1]"> + </bit> + <bit id="2964" value="0" path="fpga_top.cbx_3__2_.mem_top_ipin_0.mem_out[0]"> + </bit> + <bit id="2963" value="0" path="fpga_top.cbx_3__2_.mem_bottom_ipin_2.mem_out[2]"> + </bit> + <bit id="2962" value="0" path="fpga_top.cbx_3__2_.mem_bottom_ipin_2.mem_out[1]"> + </bit> + <bit id="2961" value="0" path="fpga_top.cbx_3__2_.mem_bottom_ipin_2.mem_out[0]"> + </bit> + <bit id="2960" value="0" path="fpga_top.cbx_3__2_.mem_bottom_ipin_1.mem_out[1]"> + </bit> + <bit id="2959" value="0" path="fpga_top.cbx_3__2_.mem_bottom_ipin_1.mem_out[0]"> + </bit> + <bit id="2958" value="0" path="fpga_top.cbx_3__2_.mem_bottom_ipin_0.mem_out[2]"> + </bit> + <bit id="2957" value="0" path="fpga_top.cbx_3__2_.mem_bottom_ipin_0.mem_out[1]"> + </bit> + <bit id="2956" value="0" path="fpga_top.cbx_3__2_.mem_bottom_ipin_0.mem_out[0]"> + </bit> + <bit id="2955" value="0" path="fpga_top.sb_3__2_.mem_left_track_17.mem_out[3]"> + </bit> + <bit id="2954" value="0" path="fpga_top.sb_3__2_.mem_left_track_17.mem_out[2]"> + </bit> + <bit id="2953" value="0" path="fpga_top.sb_3__2_.mem_left_track_17.mem_out[1]"> + </bit> + <bit id="2952" value="0" path="fpga_top.sb_3__2_.mem_left_track_17.mem_out[0]"> + </bit> + <bit id="2951" value="0" path="fpga_top.sb_3__2_.mem_left_track_9.mem_out[3]"> + </bit> + <bit id="2950" value="0" path="fpga_top.sb_3__2_.mem_left_track_9.mem_out[2]"> + </bit> + <bit id="2949" value="0" path="fpga_top.sb_3__2_.mem_left_track_9.mem_out[1]"> + </bit> + <bit id="2948" value="0" path="fpga_top.sb_3__2_.mem_left_track_9.mem_out[0]"> + </bit> + <bit id="2947" value="0" path="fpga_top.sb_3__2_.mem_left_track_1.mem_out[3]"> + </bit> + <bit id="2946" value="0" path="fpga_top.sb_3__2_.mem_left_track_1.mem_out[2]"> + </bit> + <bit id="2945" value="0" path="fpga_top.sb_3__2_.mem_left_track_1.mem_out[1]"> + </bit> + <bit id="2944" value="0" path="fpga_top.sb_3__2_.mem_left_track_1.mem_out[0]"> + </bit> + <bit id="2943" value="0" path="fpga_top.sb_3__2_.mem_bottom_track_17.mem_out[3]"> + </bit> + <bit id="2942" value="0" path="fpga_top.sb_3__2_.mem_bottom_track_17.mem_out[2]"> + </bit> + <bit id="2941" value="0" path="fpga_top.sb_3__2_.mem_bottom_track_17.mem_out[1]"> + </bit> + <bit id="2940" value="0" path="fpga_top.sb_3__2_.mem_bottom_track_17.mem_out[0]"> + </bit> + <bit id="2939" value="0" path="fpga_top.sb_3__2_.mem_bottom_track_9.mem_out[3]"> + </bit> + <bit id="2938" value="0" path="fpga_top.sb_3__2_.mem_bottom_track_9.mem_out[2]"> + </bit> + <bit id="2937" value="0" path="fpga_top.sb_3__2_.mem_bottom_track_9.mem_out[1]"> + </bit> + <bit id="2936" value="0" path="fpga_top.sb_3__2_.mem_bottom_track_9.mem_out[0]"> + </bit> + <bit id="2935" value="0" path="fpga_top.sb_3__2_.mem_bottom_track_1.mem_out[3]"> + </bit> + <bit id="2934" value="0" path="fpga_top.sb_3__2_.mem_bottom_track_1.mem_out[2]"> + </bit> + <bit id="2933" value="0" path="fpga_top.sb_3__2_.mem_bottom_track_1.mem_out[1]"> + </bit> + <bit id="2932" value="0" path="fpga_top.sb_3__2_.mem_bottom_track_1.mem_out[0]"> + </bit> + <bit id="2931" value="0" path="fpga_top.sb_3__2_.mem_right_track_16.mem_out[3]"> + </bit> + <bit id="2930" value="0" path="fpga_top.sb_3__2_.mem_right_track_16.mem_out[2]"> + </bit> + <bit id="2929" value="0" path="fpga_top.sb_3__2_.mem_right_track_16.mem_out[1]"> + </bit> + <bit id="2928" value="0" path="fpga_top.sb_3__2_.mem_right_track_16.mem_out[0]"> + </bit> + <bit id="2927" value="0" path="fpga_top.sb_3__2_.mem_right_track_8.mem_out[3]"> + </bit> + <bit id="2926" value="0" path="fpga_top.sb_3__2_.mem_right_track_8.mem_out[2]"> + </bit> + <bit id="2925" value="0" path="fpga_top.sb_3__2_.mem_right_track_8.mem_out[1]"> + </bit> + <bit id="2924" value="0" path="fpga_top.sb_3__2_.mem_right_track_8.mem_out[0]"> + </bit> + <bit id="2923" value="0" path="fpga_top.sb_3__2_.mem_right_track_0.mem_out[3]"> + </bit> + <bit id="2922" value="0" path="fpga_top.sb_3__2_.mem_right_track_0.mem_out[2]"> + </bit> + <bit id="2921" value="0" path="fpga_top.sb_3__2_.mem_right_track_0.mem_out[1]"> + </bit> + <bit id="2920" value="0" path="fpga_top.sb_3__2_.mem_right_track_0.mem_out[0]"> + </bit> + <bit id="2919" value="0" path="fpga_top.sb_3__2_.mem_top_track_16.mem_out[3]"> + </bit> + <bit id="2918" value="0" path="fpga_top.sb_3__2_.mem_top_track_16.mem_out[2]"> + </bit> + <bit id="2917" value="0" path="fpga_top.sb_3__2_.mem_top_track_16.mem_out[1]"> + </bit> + <bit id="2916" value="0" path="fpga_top.sb_3__2_.mem_top_track_16.mem_out[0]"> + </bit> + <bit id="2915" value="0" path="fpga_top.sb_3__2_.mem_top_track_8.mem_out[3]"> + </bit> + <bit id="2914" value="0" path="fpga_top.sb_3__2_.mem_top_track_8.mem_out[2]"> + </bit> + <bit id="2913" value="0" path="fpga_top.sb_3__2_.mem_top_track_8.mem_out[1]"> + </bit> + <bit id="2912" value="0" path="fpga_top.sb_3__2_.mem_top_track_8.mem_out[0]"> + </bit> + <bit id="2911" value="0" path="fpga_top.sb_3__2_.mem_top_track_0.mem_out[3]"> + </bit> + <bit id="2910" value="0" path="fpga_top.sb_3__2_.mem_top_track_0.mem_out[2]"> + </bit> + <bit id="2909" value="0" path="fpga_top.sb_3__2_.mem_top_track_0.mem_out[1]"> + </bit> + <bit id="2908" value="0" path="fpga_top.sb_3__2_.mem_top_track_0.mem_out[0]"> + </bit> + <bit id="2907" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[3]"> + </bit> + <bit id="2906" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[2]"> + </bit> + <bit id="2905" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[1]"> + </bit> + <bit id="2904" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[0]"> + </bit> + <bit id="2903" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[3]"> + </bit> + <bit id="2902" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[2]"> + </bit> + <bit id="2901" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[1]"> + </bit> + <bit id="2900" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[0]"> + </bit> + <bit id="2899" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[3]"> + </bit> + <bit id="2898" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[2]"> + </bit> + <bit id="2897" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[1]"> + </bit> + <bit id="2896" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[0]"> + </bit> + <bit id="2895" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[3]"> + </bit> + <bit id="2894" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[2]"> + </bit> + <bit id="2893" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[1]"> + </bit> + <bit id="2892" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[0]"> + </bit> + <bit id="2891" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[3]"> + </bit> + <bit id="2890" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[2]"> + </bit> + <bit id="2889" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[1]"> + </bit> + <bit id="2888" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[0]"> + </bit> + <bit id="2887" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[3]"> + </bit> + <bit id="2886" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[2]"> + </bit> + <bit id="2885" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[1]"> + </bit> + <bit id="2884" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[0]"> + </bit> + <bit id="2883" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[3]"> + </bit> + <bit id="2882" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[2]"> + </bit> + <bit id="2881" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[1]"> + </bit> + <bit id="2880" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[0]"> + </bit> + <bit id="2879" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[3]"> + </bit> + <bit id="2878" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[2]"> + </bit> + <bit id="2877" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[1]"> + </bit> + <bit id="2876" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[0]"> + </bit> + <bit id="2875" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[3]"> + </bit> + <bit id="2874" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[2]"> + </bit> + <bit id="2873" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[1]"> + </bit> + <bit id="2872" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[0]"> + </bit> + <bit id="2871" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[3]"> + </bit> + <bit id="2870" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[2]"> + </bit> + <bit id="2869" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[1]"> + </bit> + <bit id="2868" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[0]"> + </bit> + <bit id="2867" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[3]"> + </bit> + <bit id="2866" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[2]"> + </bit> + <bit id="2865" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[1]"> + </bit> + <bit id="2864" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[0]"> + </bit> + <bit id="2863" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[3]"> + </bit> + <bit id="2862" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[2]"> + </bit> + <bit id="2861" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[1]"> + </bit> + <bit id="2860" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[0]"> + </bit> + <bit id="2859" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[3]"> + </bit> + <bit id="2858" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[2]"> + </bit> + <bit id="2857" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[1]"> + </bit> + <bit id="2856" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[0]"> + </bit> + <bit id="2855" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[3]"> + </bit> + <bit id="2854" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[2]"> + </bit> + <bit id="2853" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[1]"> + </bit> + <bit id="2852" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[0]"> + </bit> + <bit id="2851" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[3]"> + </bit> + <bit id="2850" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[2]"> + </bit> + <bit id="2849" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[1]"> + </bit> + <bit id="2848" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[0]"> + </bit> + <bit id="2847" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[3]"> + </bit> + <bit id="2846" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[2]"> + </bit> + <bit id="2845" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[1]"> + </bit> + <bit id="2844" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[0]"> + </bit> + <bit id="2843" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="2842" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="2841" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="2840" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="2839" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="2838" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="2837" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="2836" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="2835" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="2834" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="2833" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="2832" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="2831" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="2830" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="2829" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="2828" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="2827" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="2826" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="2825" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="2824" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="2823" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="2822" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="2821" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="2820" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="2819" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="2818" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="2817" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="2816" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="2815" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="2814" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="2813" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="2812" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="2811" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="2810" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="2809" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="2808" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="2807" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="2806" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="2805" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="2804" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="2803" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="2802" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="2801" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="2800" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="2799" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="2798" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="2797" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="2796" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="2795" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="2794" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="2793" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="2792" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="2791" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="2790" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="2789" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="2788" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="2787" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="2786" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="2785" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="2784" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="2783" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="2782" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="2781" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="2780" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="2779" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="2778" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="2777" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="2776" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="2775" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="2774" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="2773" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="2772" value="0" path="fpga_top.grid_clb_2__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="2771" value="0" path="fpga_top.cby_2__3_.mem_right_ipin_2.mem_out[1]"> + </bit> + <bit id="2770" value="0" path="fpga_top.cby_2__3_.mem_right_ipin_2.mem_out[0]"> + </bit> + <bit id="2769" value="0" path="fpga_top.cby_2__3_.mem_right_ipin_1.mem_out[1]"> + </bit> + <bit id="2768" value="0" path="fpga_top.cby_2__3_.mem_right_ipin_1.mem_out[0]"> + </bit> + <bit id="2767" value="0" path="fpga_top.cby_2__3_.mem_right_ipin_0.mem_out[2]"> + </bit> + <bit id="2766" value="0" path="fpga_top.cby_2__3_.mem_right_ipin_0.mem_out[1]"> + </bit> + <bit id="2765" value="0" path="fpga_top.cby_2__3_.mem_right_ipin_0.mem_out[0]"> + </bit> + <bit id="2764" value="0" path="fpga_top.cby_2__3_.mem_left_ipin_1.mem_out[1]"> + </bit> + <bit id="2763" value="0" path="fpga_top.cby_2__3_.mem_left_ipin_1.mem_out[0]"> + </bit> + <bit id="2762" value="0" path="fpga_top.cby_2__3_.mem_left_ipin_0.mem_out[2]"> + </bit> + <bit id="2761" value="0" path="fpga_top.cby_2__3_.mem_left_ipin_0.mem_out[1]"> + </bit> + <bit id="2760" value="0" path="fpga_top.cby_2__3_.mem_left_ipin_0.mem_out[0]"> + </bit> + <bit id="2759" value="0" path="fpga_top.cbx_2__2_.mem_top_ipin_2.mem_out[1]"> + </bit> + <bit id="2758" value="0" path="fpga_top.cbx_2__2_.mem_top_ipin_2.mem_out[0]"> + </bit> + <bit id="2757" value="0" path="fpga_top.cbx_2__2_.mem_top_ipin_1.mem_out[2]"> + </bit> + <bit id="2756" value="0" path="fpga_top.cbx_2__2_.mem_top_ipin_1.mem_out[1]"> + </bit> + <bit id="2755" value="0" path="fpga_top.cbx_2__2_.mem_top_ipin_1.mem_out[0]"> + </bit> + <bit id="2754" value="0" path="fpga_top.cbx_2__2_.mem_top_ipin_0.mem_out[2]"> + </bit> + <bit id="2753" value="0" path="fpga_top.cbx_2__2_.mem_top_ipin_0.mem_out[1]"> + </bit> + <bit id="2752" value="0" path="fpga_top.cbx_2__2_.mem_top_ipin_0.mem_out[0]"> + </bit> + <bit id="2751" value="0" path="fpga_top.cbx_2__2_.mem_bottom_ipin_2.mem_out[2]"> + </bit> + <bit id="2750" value="0" path="fpga_top.cbx_2__2_.mem_bottom_ipin_2.mem_out[1]"> + </bit> + <bit id="2749" value="0" path="fpga_top.cbx_2__2_.mem_bottom_ipin_2.mem_out[0]"> + </bit> + <bit id="2748" value="0" path="fpga_top.cbx_2__2_.mem_bottom_ipin_1.mem_out[1]"> + </bit> + <bit id="2747" value="0" path="fpga_top.cbx_2__2_.mem_bottom_ipin_1.mem_out[0]"> + </bit> + <bit id="2746" value="0" path="fpga_top.cbx_2__2_.mem_bottom_ipin_0.mem_out[2]"> + </bit> + <bit id="2745" value="0" path="fpga_top.cbx_2__2_.mem_bottom_ipin_0.mem_out[1]"> + </bit> + <bit id="2744" value="0" path="fpga_top.cbx_2__2_.mem_bottom_ipin_0.mem_out[0]"> + </bit> + <bit id="2743" value="0" path="fpga_top.sb_2__2_.mem_left_track_17.mem_out[3]"> + </bit> + <bit id="2742" value="0" path="fpga_top.sb_2__2_.mem_left_track_17.mem_out[2]"> + </bit> + <bit id="2741" value="0" path="fpga_top.sb_2__2_.mem_left_track_17.mem_out[1]"> + </bit> + <bit id="2740" value="0" path="fpga_top.sb_2__2_.mem_left_track_17.mem_out[0]"> + </bit> + <bit id="2739" value="0" path="fpga_top.sb_2__2_.mem_left_track_9.mem_out[3]"> + </bit> + <bit id="2738" value="0" path="fpga_top.sb_2__2_.mem_left_track_9.mem_out[2]"> + </bit> + <bit id="2737" value="0" path="fpga_top.sb_2__2_.mem_left_track_9.mem_out[1]"> + </bit> + <bit id="2736" value="0" path="fpga_top.sb_2__2_.mem_left_track_9.mem_out[0]"> + </bit> + <bit id="2735" value="0" path="fpga_top.sb_2__2_.mem_left_track_1.mem_out[3]"> + </bit> + <bit id="2734" value="0" path="fpga_top.sb_2__2_.mem_left_track_1.mem_out[2]"> + </bit> + <bit id="2733" value="0" path="fpga_top.sb_2__2_.mem_left_track_1.mem_out[1]"> + </bit> + <bit id="2732" value="0" path="fpga_top.sb_2__2_.mem_left_track_1.mem_out[0]"> + </bit> + <bit id="2731" value="0" path="fpga_top.sb_2__2_.mem_bottom_track_17.mem_out[3]"> + </bit> + <bit id="2730" value="0" path="fpga_top.sb_2__2_.mem_bottom_track_17.mem_out[2]"> + </bit> + <bit id="2729" value="0" path="fpga_top.sb_2__2_.mem_bottom_track_17.mem_out[1]"> + </bit> + <bit id="2728" value="0" path="fpga_top.sb_2__2_.mem_bottom_track_17.mem_out[0]"> + </bit> + <bit id="2727" value="0" path="fpga_top.sb_2__2_.mem_bottom_track_9.mem_out[3]"> + </bit> + <bit id="2726" value="0" path="fpga_top.sb_2__2_.mem_bottom_track_9.mem_out[2]"> + </bit> + <bit id="2725" value="0" path="fpga_top.sb_2__2_.mem_bottom_track_9.mem_out[1]"> + </bit> + <bit id="2724" value="0" path="fpga_top.sb_2__2_.mem_bottom_track_9.mem_out[0]"> + </bit> + <bit id="2723" value="0" path="fpga_top.sb_2__2_.mem_bottom_track_1.mem_out[3]"> + </bit> + <bit id="2722" value="0" path="fpga_top.sb_2__2_.mem_bottom_track_1.mem_out[2]"> + </bit> + <bit id="2721" value="0" path="fpga_top.sb_2__2_.mem_bottom_track_1.mem_out[1]"> + </bit> + <bit id="2720" value="0" path="fpga_top.sb_2__2_.mem_bottom_track_1.mem_out[0]"> + </bit> + <bit id="2719" value="0" path="fpga_top.sb_2__2_.mem_right_track_16.mem_out[3]"> + </bit> + <bit id="2718" value="0" path="fpga_top.sb_2__2_.mem_right_track_16.mem_out[2]"> + </bit> + <bit id="2717" value="0" path="fpga_top.sb_2__2_.mem_right_track_16.mem_out[1]"> + </bit> + <bit id="2716" value="0" path="fpga_top.sb_2__2_.mem_right_track_16.mem_out[0]"> + </bit> + <bit id="2715" value="0" path="fpga_top.sb_2__2_.mem_right_track_8.mem_out[3]"> + </bit> + <bit id="2714" value="0" path="fpga_top.sb_2__2_.mem_right_track_8.mem_out[2]"> + </bit> + <bit id="2713" value="0" path="fpga_top.sb_2__2_.mem_right_track_8.mem_out[1]"> + </bit> + <bit id="2712" value="0" path="fpga_top.sb_2__2_.mem_right_track_8.mem_out[0]"> + </bit> + <bit id="2711" value="0" path="fpga_top.sb_2__2_.mem_right_track_0.mem_out[3]"> + </bit> + <bit id="2710" value="0" path="fpga_top.sb_2__2_.mem_right_track_0.mem_out[2]"> + </bit> + <bit id="2709" value="0" path="fpga_top.sb_2__2_.mem_right_track_0.mem_out[1]"> + </bit> + <bit id="2708" value="0" path="fpga_top.sb_2__2_.mem_right_track_0.mem_out[0]"> + </bit> + <bit id="2707" value="0" path="fpga_top.sb_2__2_.mem_top_track_16.mem_out[3]"> + </bit> + <bit id="2706" value="0" path="fpga_top.sb_2__2_.mem_top_track_16.mem_out[2]"> + </bit> + <bit id="2705" value="0" path="fpga_top.sb_2__2_.mem_top_track_16.mem_out[1]"> + </bit> + <bit id="2704" value="0" path="fpga_top.sb_2__2_.mem_top_track_16.mem_out[0]"> + </bit> + <bit id="2703" value="0" path="fpga_top.sb_2__2_.mem_top_track_8.mem_out[3]"> + </bit> + <bit id="2702" value="0" path="fpga_top.sb_2__2_.mem_top_track_8.mem_out[2]"> + </bit> + <bit id="2701" value="0" path="fpga_top.sb_2__2_.mem_top_track_8.mem_out[1]"> + </bit> + <bit id="2700" value="0" path="fpga_top.sb_2__2_.mem_top_track_8.mem_out[0]"> + </bit> + <bit id="2699" value="0" path="fpga_top.sb_2__2_.mem_top_track_0.mem_out[3]"> + </bit> + <bit id="2698" value="0" path="fpga_top.sb_2__2_.mem_top_track_0.mem_out[2]"> + </bit> + <bit id="2697" value="0" path="fpga_top.sb_2__2_.mem_top_track_0.mem_out[1]"> + </bit> + <bit id="2696" value="0" path="fpga_top.sb_2__2_.mem_top_track_0.mem_out[0]"> + </bit> + <bit id="2695" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[3]"> + </bit> + <bit id="2694" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[2]"> + </bit> + <bit id="2693" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[1]"> + </bit> + <bit id="2692" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[0]"> + </bit> + <bit id="2691" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[3]"> + </bit> + <bit id="2690" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[2]"> + </bit> + <bit id="2689" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[1]"> + </bit> + <bit id="2688" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[0]"> + </bit> + <bit id="2687" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[3]"> + </bit> + <bit id="2686" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[2]"> + </bit> + <bit id="2685" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[1]"> + </bit> + <bit id="2684" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[0]"> + </bit> + <bit id="2683" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[3]"> + </bit> + <bit id="2682" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[2]"> + </bit> + <bit id="2681" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[1]"> + </bit> + <bit id="2680" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[0]"> + </bit> + <bit id="2679" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[3]"> + </bit> + <bit id="2678" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[2]"> + </bit> + <bit id="2677" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[1]"> + </bit> + <bit id="2676" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[0]"> + </bit> + <bit id="2675" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[3]"> + </bit> + <bit id="2674" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[2]"> + </bit> + <bit id="2673" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[1]"> + </bit> + <bit id="2672" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[0]"> + </bit> + <bit id="2671" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[3]"> + </bit> + <bit id="2670" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[2]"> + </bit> + <bit id="2669" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[1]"> + </bit> + <bit id="2668" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[0]"> + </bit> + <bit id="2667" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[3]"> + </bit> + <bit id="2666" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[2]"> + </bit> + <bit id="2665" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[1]"> + </bit> + <bit id="2664" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[0]"> + </bit> + <bit id="2663" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[3]"> + </bit> + <bit id="2662" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[2]"> + </bit> + <bit id="2661" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[1]"> + </bit> + <bit id="2660" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[0]"> + </bit> + <bit id="2659" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[3]"> + </bit> + <bit id="2658" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[2]"> + </bit> + <bit id="2657" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[1]"> + </bit> + <bit id="2656" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[0]"> + </bit> + <bit id="2655" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[3]"> + </bit> + <bit id="2654" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[2]"> + </bit> + <bit id="2653" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[1]"> + </bit> + <bit id="2652" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[0]"> + </bit> + <bit id="2651" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[3]"> + </bit> + <bit id="2650" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[2]"> + </bit> + <bit id="2649" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[1]"> + </bit> + <bit id="2648" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[0]"> + </bit> + <bit id="2647" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[3]"> + </bit> + <bit id="2646" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[2]"> + </bit> + <bit id="2645" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[1]"> + </bit> + <bit id="2644" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[0]"> + </bit> + <bit id="2643" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[3]"> + </bit> + <bit id="2642" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[2]"> + </bit> + <bit id="2641" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[1]"> + </bit> + <bit id="2640" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[0]"> + </bit> + <bit id="2639" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[3]"> + </bit> + <bit id="2638" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[2]"> + </bit> + <bit id="2637" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[1]"> + </bit> + <bit id="2636" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[0]"> + </bit> + <bit id="2635" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[3]"> + </bit> + <bit id="2634" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[2]"> + </bit> + <bit id="2633" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[1]"> + </bit> + <bit id="2632" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[0]"> + </bit> + <bit id="2631" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="2630" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="2629" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="2628" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="2627" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="2626" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="2625" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="2624" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="2623" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="2622" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="2621" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="2620" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="2619" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="2618" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="2617" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="2616" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="2615" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="2614" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="2613" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="2612" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="2611" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="2610" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="2609" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="2608" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="2607" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="2606" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="2605" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="2604" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="2603" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="2602" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="2601" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="2600" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="2599" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="2598" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="2597" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="2596" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="2595" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="2594" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="2593" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="2592" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="2591" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="2590" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="2589" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="2588" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="2587" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="2586" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="2585" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="2584" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="2583" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="2582" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="2581" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="2580" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="2579" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="2578" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="2577" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="2576" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="2575" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="2574" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="2573" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="2572" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="2571" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="2570" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="2569" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="2568" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="2567" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="2566" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="2565" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="2564" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="2563" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="2562" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="2561" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="2560" value="0" path="fpga_top.grid_clb_1__3_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="2559" value="0" path="fpga_top.cby_1__3_.mem_right_ipin_2.mem_out[1]"> + </bit> + <bit id="2558" value="0" path="fpga_top.cby_1__3_.mem_right_ipin_2.mem_out[0]"> + </bit> + <bit id="2557" value="0" path="fpga_top.cby_1__3_.mem_right_ipin_1.mem_out[1]"> + </bit> + <bit id="2556" value="0" path="fpga_top.cby_1__3_.mem_right_ipin_1.mem_out[0]"> + </bit> + <bit id="2555" value="0" path="fpga_top.cby_1__3_.mem_right_ipin_0.mem_out[2]"> + </bit> + <bit id="2554" value="0" path="fpga_top.cby_1__3_.mem_right_ipin_0.mem_out[1]"> + </bit> + <bit id="2553" value="0" path="fpga_top.cby_1__3_.mem_right_ipin_0.mem_out[0]"> + </bit> + <bit id="2552" value="0" path="fpga_top.cby_1__3_.mem_left_ipin_1.mem_out[1]"> + </bit> + <bit id="2551" value="0" path="fpga_top.cby_1__3_.mem_left_ipin_1.mem_out[0]"> + </bit> + <bit id="2550" value="0" path="fpga_top.cby_1__3_.mem_left_ipin_0.mem_out[2]"> + </bit> + <bit id="2549" value="0" path="fpga_top.cby_1__3_.mem_left_ipin_0.mem_out[1]"> + </bit> + <bit id="2548" value="0" path="fpga_top.cby_1__3_.mem_left_ipin_0.mem_out[0]"> + </bit> + <bit id="2547" value="0" path="fpga_top.cbx_1__2_.mem_top_ipin_2.mem_out[1]"> + </bit> + <bit id="2546" value="0" path="fpga_top.cbx_1__2_.mem_top_ipin_2.mem_out[0]"> + </bit> + <bit id="2545" value="0" path="fpga_top.cbx_1__2_.mem_top_ipin_1.mem_out[2]"> + </bit> + <bit id="2544" value="0" path="fpga_top.cbx_1__2_.mem_top_ipin_1.mem_out[1]"> + </bit> + <bit id="2543" value="0" path="fpga_top.cbx_1__2_.mem_top_ipin_1.mem_out[0]"> + </bit> + <bit id="2542" value="0" path="fpga_top.cbx_1__2_.mem_top_ipin_0.mem_out[2]"> + </bit> + <bit id="2541" value="0" path="fpga_top.cbx_1__2_.mem_top_ipin_0.mem_out[1]"> + </bit> + <bit id="2540" value="0" path="fpga_top.cbx_1__2_.mem_top_ipin_0.mem_out[0]"> + </bit> + <bit id="2539" value="0" path="fpga_top.cbx_1__2_.mem_bottom_ipin_2.mem_out[2]"> + </bit> + <bit id="2538" value="0" path="fpga_top.cbx_1__2_.mem_bottom_ipin_2.mem_out[1]"> + </bit> + <bit id="2537" value="0" path="fpga_top.cbx_1__2_.mem_bottom_ipin_2.mem_out[0]"> + </bit> + <bit id="2536" value="0" path="fpga_top.cbx_1__2_.mem_bottom_ipin_1.mem_out[1]"> + </bit> + <bit id="2535" value="0" path="fpga_top.cbx_1__2_.mem_bottom_ipin_1.mem_out[0]"> + </bit> + <bit id="2534" value="0" path="fpga_top.cbx_1__2_.mem_bottom_ipin_0.mem_out[2]"> + </bit> + <bit id="2533" value="0" path="fpga_top.cbx_1__2_.mem_bottom_ipin_0.mem_out[1]"> + </bit> + <bit id="2532" value="0" path="fpga_top.cbx_1__2_.mem_bottom_ipin_0.mem_out[0]"> + </bit> + <bit id="2531" value="0" path="fpga_top.sb_1__2_.mem_left_track_17.mem_out[3]"> + </bit> + <bit id="2530" value="0" path="fpga_top.sb_1__2_.mem_left_track_17.mem_out[2]"> + </bit> + <bit id="2529" value="0" path="fpga_top.sb_1__2_.mem_left_track_17.mem_out[1]"> + </bit> + <bit id="2528" value="0" path="fpga_top.sb_1__2_.mem_left_track_17.mem_out[0]"> + </bit> + <bit id="2527" value="0" path="fpga_top.sb_1__2_.mem_left_track_9.mem_out[3]"> + </bit> + <bit id="2526" value="0" path="fpga_top.sb_1__2_.mem_left_track_9.mem_out[2]"> + </bit> + <bit id="2525" value="0" path="fpga_top.sb_1__2_.mem_left_track_9.mem_out[1]"> + </bit> + <bit id="2524" value="0" path="fpga_top.sb_1__2_.mem_left_track_9.mem_out[0]"> + </bit> + <bit id="2523" value="0" path="fpga_top.sb_1__2_.mem_left_track_1.mem_out[3]"> + </bit> + <bit id="2522" value="0" path="fpga_top.sb_1__2_.mem_left_track_1.mem_out[2]"> + </bit> + <bit id="2521" value="0" path="fpga_top.sb_1__2_.mem_left_track_1.mem_out[1]"> + </bit> + <bit id="2520" value="0" path="fpga_top.sb_1__2_.mem_left_track_1.mem_out[0]"> + </bit> + <bit id="2519" value="0" path="fpga_top.sb_1__2_.mem_bottom_track_17.mem_out[3]"> + </bit> + <bit id="2518" value="0" path="fpga_top.sb_1__2_.mem_bottom_track_17.mem_out[2]"> + </bit> + <bit id="2517" value="0" path="fpga_top.sb_1__2_.mem_bottom_track_17.mem_out[1]"> + </bit> + <bit id="2516" value="0" path="fpga_top.sb_1__2_.mem_bottom_track_17.mem_out[0]"> + </bit> + <bit id="2515" value="0" path="fpga_top.sb_1__2_.mem_bottom_track_9.mem_out[3]"> + </bit> + <bit id="2514" value="0" path="fpga_top.sb_1__2_.mem_bottom_track_9.mem_out[2]"> + </bit> + <bit id="2513" value="0" path="fpga_top.sb_1__2_.mem_bottom_track_9.mem_out[1]"> + </bit> + <bit id="2512" value="0" path="fpga_top.sb_1__2_.mem_bottom_track_9.mem_out[0]"> + </bit> + <bit id="2511" value="0" path="fpga_top.sb_1__2_.mem_bottom_track_1.mem_out[3]"> + </bit> + <bit id="2510" value="0" path="fpga_top.sb_1__2_.mem_bottom_track_1.mem_out[2]"> + </bit> + <bit id="2509" value="0" path="fpga_top.sb_1__2_.mem_bottom_track_1.mem_out[1]"> + </bit> + <bit id="2508" value="0" path="fpga_top.sb_1__2_.mem_bottom_track_1.mem_out[0]"> + </bit> + <bit id="2507" value="0" path="fpga_top.sb_1__2_.mem_right_track_16.mem_out[3]"> + </bit> + <bit id="2506" value="0" path="fpga_top.sb_1__2_.mem_right_track_16.mem_out[2]"> + </bit> + <bit id="2505" value="0" path="fpga_top.sb_1__2_.mem_right_track_16.mem_out[1]"> + </bit> + <bit id="2504" value="0" path="fpga_top.sb_1__2_.mem_right_track_16.mem_out[0]"> + </bit> + <bit id="2503" value="0" path="fpga_top.sb_1__2_.mem_right_track_8.mem_out[3]"> + </bit> + <bit id="2502" value="0" path="fpga_top.sb_1__2_.mem_right_track_8.mem_out[2]"> + </bit> + <bit id="2501" value="0" path="fpga_top.sb_1__2_.mem_right_track_8.mem_out[1]"> + </bit> + <bit id="2500" value="0" path="fpga_top.sb_1__2_.mem_right_track_8.mem_out[0]"> + </bit> + <bit id="2499" value="0" path="fpga_top.sb_1__2_.mem_right_track_0.mem_out[3]"> + </bit> + <bit id="2498" value="0" path="fpga_top.sb_1__2_.mem_right_track_0.mem_out[2]"> + </bit> + <bit id="2497" value="0" path="fpga_top.sb_1__2_.mem_right_track_0.mem_out[1]"> + </bit> + <bit id="2496" value="0" path="fpga_top.sb_1__2_.mem_right_track_0.mem_out[0]"> + </bit> + <bit id="2495" value="0" path="fpga_top.sb_1__2_.mem_top_track_16.mem_out[3]"> + </bit> + <bit id="2494" value="0" path="fpga_top.sb_1__2_.mem_top_track_16.mem_out[2]"> + </bit> + <bit id="2493" value="0" path="fpga_top.sb_1__2_.mem_top_track_16.mem_out[1]"> + </bit> + <bit id="2492" value="0" path="fpga_top.sb_1__2_.mem_top_track_16.mem_out[0]"> + </bit> + <bit id="2491" value="0" path="fpga_top.sb_1__2_.mem_top_track_8.mem_out[3]"> + </bit> + <bit id="2490" value="0" path="fpga_top.sb_1__2_.mem_top_track_8.mem_out[2]"> + </bit> + <bit id="2489" value="0" path="fpga_top.sb_1__2_.mem_top_track_8.mem_out[1]"> + </bit> + <bit id="2488" value="0" path="fpga_top.sb_1__2_.mem_top_track_8.mem_out[0]"> + </bit> + <bit id="2487" value="0" path="fpga_top.sb_1__2_.mem_top_track_0.mem_out[3]"> + </bit> + <bit id="2486" value="0" path="fpga_top.sb_1__2_.mem_top_track_0.mem_out[2]"> + </bit> + <bit id="2485" value="0" path="fpga_top.sb_1__2_.mem_top_track_0.mem_out[1]"> + </bit> + <bit id="2484" value="0" path="fpga_top.sb_1__2_.mem_top_track_0.mem_out[0]"> + </bit> + <bit id="2483" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[3]"> + </bit> + <bit id="2482" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[2]"> + </bit> + <bit id="2481" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[1]"> + </bit> + <bit id="2480" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[0]"> + </bit> + <bit id="2479" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[3]"> + </bit> + <bit id="2478" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[2]"> + </bit> + <bit id="2477" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[1]"> + </bit> + <bit id="2476" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[0]"> + </bit> + <bit id="2475" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[3]"> + </bit> + <bit id="2474" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[2]"> + </bit> + <bit id="2473" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[1]"> + </bit> + <bit id="2472" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[0]"> + </bit> + <bit id="2471" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[3]"> + </bit> + <bit id="2470" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[2]"> + </bit> + <bit id="2469" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[1]"> + </bit> + <bit id="2468" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[0]"> + </bit> + <bit id="2467" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[3]"> + </bit> + <bit id="2466" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[2]"> + </bit> + <bit id="2465" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[1]"> + </bit> + <bit id="2464" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[0]"> + </bit> + <bit id="2463" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[3]"> + </bit> + <bit id="2462" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[2]"> + </bit> + <bit id="2461" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[1]"> + </bit> + <bit id="2460" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[0]"> + </bit> + <bit id="2459" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[3]"> + </bit> + <bit id="2458" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[2]"> + </bit> + <bit id="2457" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[1]"> + </bit> + <bit id="2456" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[0]"> + </bit> + <bit id="2455" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[3]"> + </bit> + <bit id="2454" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[2]"> + </bit> + <bit id="2453" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[1]"> + </bit> + <bit id="2452" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[0]"> + </bit> + <bit id="2451" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[3]"> + </bit> + <bit id="2450" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[2]"> + </bit> + <bit id="2449" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[1]"> + </bit> + <bit id="2448" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[0]"> + </bit> + <bit id="2447" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[3]"> + </bit> + <bit id="2446" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[2]"> + </bit> + <bit id="2445" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[1]"> + </bit> + <bit id="2444" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[0]"> + </bit> + <bit id="2443" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[3]"> + </bit> + <bit id="2442" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[2]"> + </bit> + <bit id="2441" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[1]"> + </bit> + <bit id="2440" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[0]"> + </bit> + <bit id="2439" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[3]"> + </bit> + <bit id="2438" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[2]"> + </bit> + <bit id="2437" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[1]"> + </bit> + <bit id="2436" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[0]"> + </bit> + <bit id="2435" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[3]"> + </bit> + <bit id="2434" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[2]"> + </bit> + <bit id="2433" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[1]"> + </bit> + <bit id="2432" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[0]"> + </bit> + <bit id="2431" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[3]"> + </bit> + <bit id="2430" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[2]"> + </bit> + <bit id="2429" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[1]"> + </bit> + <bit id="2428" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[0]"> + </bit> + <bit id="2427" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[3]"> + </bit> + <bit id="2426" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[2]"> + </bit> + <bit id="2425" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[1]"> + </bit> + <bit id="2424" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[0]"> + </bit> + <bit id="2423" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[3]"> + </bit> + <bit id="2422" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[2]"> + </bit> + <bit id="2421" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[1]"> + </bit> + <bit id="2420" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[0]"> + </bit> + <bit id="2419" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="2418" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="2417" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="2416" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="2415" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="2414" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="2413" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="2412" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="2411" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="2410" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="2409" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="2408" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="2407" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="2406" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="2405" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="2404" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="2403" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="2402" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="2401" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="2400" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="2399" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="2398" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="2397" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="2396" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="2395" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="2394" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="2393" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="2392" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="2391" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="2390" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="2389" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="2388" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="2387" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="2386" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="2385" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="2384" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="2383" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="2382" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="2381" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="2380" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="2379" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="2378" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="2377" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="2376" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="2375" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="2374" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="2373" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="2372" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="2371" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="2370" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="2369" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="2368" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="2367" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="2366" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="2365" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="2364" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="2363" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="2362" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="2361" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="2360" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="2359" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="2358" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="2357" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="2356" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="2355" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="2354" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="2353" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="2352" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="2351" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="2350" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="2349" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="2348" value="0" path="fpga_top.grid_clb_1__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="2347" value="0" path="fpga_top.cby_1__2_.mem_right_ipin_2.mem_out[1]"> + </bit> + <bit id="2346" value="0" path="fpga_top.cby_1__2_.mem_right_ipin_2.mem_out[0]"> + </bit> + <bit id="2345" value="0" path="fpga_top.cby_1__2_.mem_right_ipin_1.mem_out[1]"> + </bit> + <bit id="2344" value="0" path="fpga_top.cby_1__2_.mem_right_ipin_1.mem_out[0]"> + </bit> + <bit id="2343" value="0" path="fpga_top.cby_1__2_.mem_right_ipin_0.mem_out[2]"> + </bit> + <bit id="2342" value="0" path="fpga_top.cby_1__2_.mem_right_ipin_0.mem_out[1]"> + </bit> + <bit id="2341" value="0" path="fpga_top.cby_1__2_.mem_right_ipin_0.mem_out[0]"> + </bit> + <bit id="2340" value="0" path="fpga_top.cby_1__2_.mem_left_ipin_1.mem_out[1]"> + </bit> + <bit id="2339" value="0" path="fpga_top.cby_1__2_.mem_left_ipin_1.mem_out[0]"> + </bit> + <bit id="2338" value="0" path="fpga_top.cby_1__2_.mem_left_ipin_0.mem_out[2]"> + </bit> + <bit id="2337" value="0" path="fpga_top.cby_1__2_.mem_left_ipin_0.mem_out[1]"> + </bit> + <bit id="2336" value="0" path="fpga_top.cby_1__2_.mem_left_ipin_0.mem_out[0]"> + </bit> + <bit id="2335" value="0" path="fpga_top.cbx_1__1_.mem_top_ipin_2.mem_out[1]"> + </bit> + <bit id="2334" value="0" path="fpga_top.cbx_1__1_.mem_top_ipin_2.mem_out[0]"> + </bit> + <bit id="2333" value="0" path="fpga_top.cbx_1__1_.mem_top_ipin_1.mem_out[2]"> + </bit> + <bit id="2332" value="0" path="fpga_top.cbx_1__1_.mem_top_ipin_1.mem_out[1]"> + </bit> + <bit id="2331" value="0" path="fpga_top.cbx_1__1_.mem_top_ipin_1.mem_out[0]"> + </bit> + <bit id="2330" value="0" path="fpga_top.cbx_1__1_.mem_top_ipin_0.mem_out[2]"> + </bit> + <bit id="2329" value="0" path="fpga_top.cbx_1__1_.mem_top_ipin_0.mem_out[1]"> + </bit> + <bit id="2328" value="0" path="fpga_top.cbx_1__1_.mem_top_ipin_0.mem_out[0]"> + </bit> + <bit id="2327" value="0" path="fpga_top.cbx_1__1_.mem_bottom_ipin_2.mem_out[2]"> + </bit> + <bit id="2326" value="0" path="fpga_top.cbx_1__1_.mem_bottom_ipin_2.mem_out[1]"> + </bit> + <bit id="2325" value="0" path="fpga_top.cbx_1__1_.mem_bottom_ipin_2.mem_out[0]"> + </bit> + <bit id="2324" value="0" path="fpga_top.cbx_1__1_.mem_bottom_ipin_1.mem_out[1]"> + </bit> + <bit id="2323" value="0" path="fpga_top.cbx_1__1_.mem_bottom_ipin_1.mem_out[0]"> + </bit> + <bit id="2322" value="0" path="fpga_top.cbx_1__1_.mem_bottom_ipin_0.mem_out[2]"> + </bit> + <bit id="2321" value="0" path="fpga_top.cbx_1__1_.mem_bottom_ipin_0.mem_out[1]"> + </bit> + <bit id="2320" value="0" path="fpga_top.cbx_1__1_.mem_bottom_ipin_0.mem_out[0]"> + </bit> + <bit id="2319" value="0" path="fpga_top.sb_1__1_.mem_left_track_17.mem_out[3]"> + </bit> + <bit id="2318" value="0" path="fpga_top.sb_1__1_.mem_left_track_17.mem_out[2]"> + </bit> + <bit id="2317" value="0" path="fpga_top.sb_1__1_.mem_left_track_17.mem_out[1]"> + </bit> + <bit id="2316" value="0" path="fpga_top.sb_1__1_.mem_left_track_17.mem_out[0]"> + </bit> + <bit id="2315" value="0" path="fpga_top.sb_1__1_.mem_left_track_9.mem_out[3]"> + </bit> + <bit id="2314" value="0" path="fpga_top.sb_1__1_.mem_left_track_9.mem_out[2]"> + </bit> + <bit id="2313" value="0" path="fpga_top.sb_1__1_.mem_left_track_9.mem_out[1]"> + </bit> + <bit id="2312" value="0" path="fpga_top.sb_1__1_.mem_left_track_9.mem_out[0]"> + </bit> + <bit id="2311" value="0" path="fpga_top.sb_1__1_.mem_left_track_1.mem_out[3]"> + </bit> + <bit id="2310" value="0" path="fpga_top.sb_1__1_.mem_left_track_1.mem_out[2]"> + </bit> + <bit id="2309" value="0" path="fpga_top.sb_1__1_.mem_left_track_1.mem_out[1]"> + </bit> + <bit id="2308" value="0" path="fpga_top.sb_1__1_.mem_left_track_1.mem_out[0]"> + </bit> + <bit id="2307" value="0" path="fpga_top.sb_1__1_.mem_bottom_track_17.mem_out[3]"> + </bit> + <bit id="2306" value="0" path="fpga_top.sb_1__1_.mem_bottom_track_17.mem_out[2]"> + </bit> + <bit id="2305" value="0" path="fpga_top.sb_1__1_.mem_bottom_track_17.mem_out[1]"> + </bit> + <bit id="2304" value="0" path="fpga_top.sb_1__1_.mem_bottom_track_17.mem_out[0]"> + </bit> + <bit id="2303" value="0" path="fpga_top.sb_1__1_.mem_bottom_track_9.mem_out[3]"> + </bit> + <bit id="2302" value="0" path="fpga_top.sb_1__1_.mem_bottom_track_9.mem_out[2]"> + </bit> + <bit id="2301" value="0" path="fpga_top.sb_1__1_.mem_bottom_track_9.mem_out[1]"> + </bit> + <bit id="2300" value="0" path="fpga_top.sb_1__1_.mem_bottom_track_9.mem_out[0]"> + </bit> + <bit id="2299" value="0" path="fpga_top.sb_1__1_.mem_bottom_track_1.mem_out[3]"> + </bit> + <bit id="2298" value="0" path="fpga_top.sb_1__1_.mem_bottom_track_1.mem_out[2]"> + </bit> + <bit id="2297" value="0" path="fpga_top.sb_1__1_.mem_bottom_track_1.mem_out[1]"> + </bit> + <bit id="2296" value="0" path="fpga_top.sb_1__1_.mem_bottom_track_1.mem_out[0]"> + </bit> + <bit id="2295" value="0" path="fpga_top.sb_1__1_.mem_right_track_16.mem_out[3]"> + </bit> + <bit id="2294" value="0" path="fpga_top.sb_1__1_.mem_right_track_16.mem_out[2]"> + </bit> + <bit id="2293" value="0" path="fpga_top.sb_1__1_.mem_right_track_16.mem_out[1]"> + </bit> + <bit id="2292" value="0" path="fpga_top.sb_1__1_.mem_right_track_16.mem_out[0]"> + </bit> + <bit id="2291" value="0" path="fpga_top.sb_1__1_.mem_right_track_8.mem_out[3]"> + </bit> + <bit id="2290" value="0" path="fpga_top.sb_1__1_.mem_right_track_8.mem_out[2]"> + </bit> + <bit id="2289" value="0" path="fpga_top.sb_1__1_.mem_right_track_8.mem_out[1]"> + </bit> + <bit id="2288" value="0" path="fpga_top.sb_1__1_.mem_right_track_8.mem_out[0]"> + </bit> + <bit id="2287" value="0" path="fpga_top.sb_1__1_.mem_right_track_0.mem_out[3]"> + </bit> + <bit id="2286" value="0" path="fpga_top.sb_1__1_.mem_right_track_0.mem_out[2]"> + </bit> + <bit id="2285" value="0" path="fpga_top.sb_1__1_.mem_right_track_0.mem_out[1]"> + </bit> + <bit id="2284" value="0" path="fpga_top.sb_1__1_.mem_right_track_0.mem_out[0]"> + </bit> + <bit id="2283" value="0" path="fpga_top.sb_1__1_.mem_top_track_16.mem_out[3]"> + </bit> + <bit id="2282" value="0" path="fpga_top.sb_1__1_.mem_top_track_16.mem_out[2]"> + </bit> + <bit id="2281" value="0" path="fpga_top.sb_1__1_.mem_top_track_16.mem_out[1]"> + </bit> + <bit id="2280" value="0" path="fpga_top.sb_1__1_.mem_top_track_16.mem_out[0]"> + </bit> + <bit id="2279" value="0" path="fpga_top.sb_1__1_.mem_top_track_8.mem_out[3]"> + </bit> + <bit id="2278" value="0" path="fpga_top.sb_1__1_.mem_top_track_8.mem_out[2]"> + </bit> + <bit id="2277" value="0" path="fpga_top.sb_1__1_.mem_top_track_8.mem_out[1]"> + </bit> + <bit id="2276" value="0" path="fpga_top.sb_1__1_.mem_top_track_8.mem_out[0]"> + </bit> + <bit id="2275" value="0" path="fpga_top.sb_1__1_.mem_top_track_0.mem_out[3]"> + </bit> + <bit id="2274" value="0" path="fpga_top.sb_1__1_.mem_top_track_0.mem_out[2]"> + </bit> + <bit id="2273" value="0" path="fpga_top.sb_1__1_.mem_top_track_0.mem_out[1]"> + </bit> + <bit id="2272" value="0" path="fpga_top.sb_1__1_.mem_top_track_0.mem_out[0]"> + </bit> + <bit id="2271" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[3]"> + </bit> + <bit id="2270" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[2]"> + </bit> + <bit id="2269" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[1]"> + </bit> + <bit id="2268" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[0]"> + </bit> + <bit id="2267" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[3]"> + </bit> + <bit id="2266" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[2]"> + </bit> + <bit id="2265" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[1]"> + </bit> + <bit id="2264" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[0]"> + </bit> + <bit id="2263" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[3]"> + </bit> + <bit id="2262" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[2]"> + </bit> + <bit id="2261" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[1]"> + </bit> + <bit id="2260" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[0]"> + </bit> + <bit id="2259" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[3]"> + </bit> + <bit id="2258" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[2]"> + </bit> + <bit id="2257" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[1]"> + </bit> + <bit id="2256" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[0]"> + </bit> + <bit id="2255" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[3]"> + </bit> + <bit id="2254" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[2]"> + </bit> + <bit id="2253" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[1]"> + </bit> + <bit id="2252" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[0]"> + </bit> + <bit id="2251" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[3]"> + </bit> + <bit id="2250" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[2]"> + </bit> + <bit id="2249" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[1]"> + </bit> + <bit id="2248" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[0]"> + </bit> + <bit id="2247" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[3]"> + </bit> + <bit id="2246" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[2]"> + </bit> + <bit id="2245" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[1]"> + </bit> + <bit id="2244" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[0]"> + </bit> + <bit id="2243" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[3]"> + </bit> + <bit id="2242" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[2]"> + </bit> + <bit id="2241" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[1]"> + </bit> + <bit id="2240" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[0]"> + </bit> + <bit id="2239" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[3]"> + </bit> + <bit id="2238" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[2]"> + </bit> + <bit id="2237" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[1]"> + </bit> + <bit id="2236" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[0]"> + </bit> + <bit id="2235" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[3]"> + </bit> + <bit id="2234" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[2]"> + </bit> + <bit id="2233" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[1]"> + </bit> + <bit id="2232" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[0]"> + </bit> + <bit id="2231" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[3]"> + </bit> + <bit id="2230" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[2]"> + </bit> + <bit id="2229" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[1]"> + </bit> + <bit id="2228" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[0]"> + </bit> + <bit id="2227" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[3]"> + </bit> + <bit id="2226" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[2]"> + </bit> + <bit id="2225" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[1]"> + </bit> + <bit id="2224" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[0]"> + </bit> + <bit id="2223" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[3]"> + </bit> + <bit id="2222" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[2]"> + </bit> + <bit id="2221" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[1]"> + </bit> + <bit id="2220" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[0]"> + </bit> + <bit id="2219" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[3]"> + </bit> + <bit id="2218" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[2]"> + </bit> + <bit id="2217" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[1]"> + </bit> + <bit id="2216" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[0]"> + </bit> + <bit id="2215" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[3]"> + </bit> + <bit id="2214" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[2]"> + </bit> + <bit id="2213" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[1]"> + </bit> + <bit id="2212" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[0]"> + </bit> + <bit id="2211" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[3]"> + </bit> + <bit id="2210" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[2]"> + </bit> + <bit id="2209" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[1]"> + </bit> + <bit id="2208" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[0]"> + </bit> + <bit id="2207" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="2206" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="2205" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="2204" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="2203" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="2202" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="2201" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="2200" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="2199" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="2198" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="2197" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="2196" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="2195" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="2194" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="2193" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="2192" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="2191" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="2190" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="2189" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="2188" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="2187" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="2186" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="2185" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="2184" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="2183" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="2182" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="2181" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="2180" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="2179" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="2178" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="2177" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="2176" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="2175" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="2174" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="2173" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="2172" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="2171" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="2170" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="2169" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="2168" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="2167" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="2166" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="2165" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="2164" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="2163" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="2162" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="2161" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="2160" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="2159" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="2158" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="2157" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="2156" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="2155" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="2154" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="2153" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="2152" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="2151" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="2150" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="2149" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="2148" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="2147" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="2146" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="2145" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="2144" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="2143" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="2142" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="2141" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="2140" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="2139" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="2138" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="2137" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="2136" value="0" path="fpga_top.grid_clb_2__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="2135" value="0" path="fpga_top.cby_2__2_.mem_right_ipin_2.mem_out[1]"> + </bit> + <bit id="2134" value="0" path="fpga_top.cby_2__2_.mem_right_ipin_2.mem_out[0]"> + </bit> + <bit id="2133" value="0" path="fpga_top.cby_2__2_.mem_right_ipin_1.mem_out[1]"> + </bit> + <bit id="2132" value="0" path="fpga_top.cby_2__2_.mem_right_ipin_1.mem_out[0]"> + </bit> + <bit id="2131" value="0" path="fpga_top.cby_2__2_.mem_right_ipin_0.mem_out[2]"> + </bit> + <bit id="2130" value="0" path="fpga_top.cby_2__2_.mem_right_ipin_0.mem_out[1]"> + </bit> + <bit id="2129" value="0" path="fpga_top.cby_2__2_.mem_right_ipin_0.mem_out[0]"> + </bit> + <bit id="2128" value="0" path="fpga_top.cby_2__2_.mem_left_ipin_1.mem_out[1]"> + </bit> + <bit id="2127" value="0" path="fpga_top.cby_2__2_.mem_left_ipin_1.mem_out[0]"> + </bit> + <bit id="2126" value="0" path="fpga_top.cby_2__2_.mem_left_ipin_0.mem_out[2]"> + </bit> + <bit id="2125" value="0" path="fpga_top.cby_2__2_.mem_left_ipin_0.mem_out[1]"> + </bit> + <bit id="2124" value="0" path="fpga_top.cby_2__2_.mem_left_ipin_0.mem_out[0]"> + </bit> + <bit id="2123" value="0" path="fpga_top.cbx_2__1_.mem_top_ipin_2.mem_out[1]"> + </bit> + <bit id="2122" value="0" path="fpga_top.cbx_2__1_.mem_top_ipin_2.mem_out[0]"> + </bit> + <bit id="2121" value="0" path="fpga_top.cbx_2__1_.mem_top_ipin_1.mem_out[2]"> + </bit> + <bit id="2120" value="0" path="fpga_top.cbx_2__1_.mem_top_ipin_1.mem_out[1]"> + </bit> + <bit id="2119" value="0" path="fpga_top.cbx_2__1_.mem_top_ipin_1.mem_out[0]"> + </bit> + <bit id="2118" value="0" path="fpga_top.cbx_2__1_.mem_top_ipin_0.mem_out[2]"> + </bit> + <bit id="2117" value="0" path="fpga_top.cbx_2__1_.mem_top_ipin_0.mem_out[1]"> + </bit> + <bit id="2116" value="0" path="fpga_top.cbx_2__1_.mem_top_ipin_0.mem_out[0]"> + </bit> + <bit id="2115" value="0" path="fpga_top.cbx_2__1_.mem_bottom_ipin_2.mem_out[2]"> + </bit> + <bit id="2114" value="0" path="fpga_top.cbx_2__1_.mem_bottom_ipin_2.mem_out[1]"> + </bit> + <bit id="2113" value="0" path="fpga_top.cbx_2__1_.mem_bottom_ipin_2.mem_out[0]"> + </bit> + <bit id="2112" value="0" path="fpga_top.cbx_2__1_.mem_bottom_ipin_1.mem_out[1]"> + </bit> + <bit id="2111" value="0" path="fpga_top.cbx_2__1_.mem_bottom_ipin_1.mem_out[0]"> + </bit> + <bit id="2110" value="0" path="fpga_top.cbx_2__1_.mem_bottom_ipin_0.mem_out[2]"> + </bit> + <bit id="2109" value="0" path="fpga_top.cbx_2__1_.mem_bottom_ipin_0.mem_out[1]"> + </bit> + <bit id="2108" value="0" path="fpga_top.cbx_2__1_.mem_bottom_ipin_0.mem_out[0]"> + </bit> + <bit id="2107" value="0" path="fpga_top.sb_2__1_.mem_left_track_17.mem_out[3]"> + </bit> + <bit id="2106" value="0" path="fpga_top.sb_2__1_.mem_left_track_17.mem_out[2]"> + </bit> + <bit id="2105" value="0" path="fpga_top.sb_2__1_.mem_left_track_17.mem_out[1]"> + </bit> + <bit id="2104" value="0" path="fpga_top.sb_2__1_.mem_left_track_17.mem_out[0]"> + </bit> + <bit id="2103" value="0" path="fpga_top.sb_2__1_.mem_left_track_9.mem_out[3]"> + </bit> + <bit id="2102" value="0" path="fpga_top.sb_2__1_.mem_left_track_9.mem_out[2]"> + </bit> + <bit id="2101" value="0" path="fpga_top.sb_2__1_.mem_left_track_9.mem_out[1]"> + </bit> + <bit id="2100" value="0" path="fpga_top.sb_2__1_.mem_left_track_9.mem_out[0]"> + </bit> + <bit id="2099" value="0" path="fpga_top.sb_2__1_.mem_left_track_1.mem_out[3]"> + </bit> + <bit id="2098" value="0" path="fpga_top.sb_2__1_.mem_left_track_1.mem_out[2]"> + </bit> + <bit id="2097" value="0" path="fpga_top.sb_2__1_.mem_left_track_1.mem_out[1]"> + </bit> + <bit id="2096" value="0" path="fpga_top.sb_2__1_.mem_left_track_1.mem_out[0]"> + </bit> + <bit id="2095" value="0" path="fpga_top.sb_2__1_.mem_bottom_track_17.mem_out[3]"> + </bit> + <bit id="2094" value="0" path="fpga_top.sb_2__1_.mem_bottom_track_17.mem_out[2]"> + </bit> + <bit id="2093" value="0" path="fpga_top.sb_2__1_.mem_bottom_track_17.mem_out[1]"> + </bit> + <bit id="2092" value="0" path="fpga_top.sb_2__1_.mem_bottom_track_17.mem_out[0]"> + </bit> + <bit id="2091" value="0" path="fpga_top.sb_2__1_.mem_bottom_track_9.mem_out[3]"> + </bit> + <bit id="2090" value="0" path="fpga_top.sb_2__1_.mem_bottom_track_9.mem_out[2]"> + </bit> + <bit id="2089" value="0" path="fpga_top.sb_2__1_.mem_bottom_track_9.mem_out[1]"> + </bit> + <bit id="2088" value="0" path="fpga_top.sb_2__1_.mem_bottom_track_9.mem_out[0]"> + </bit> + <bit id="2087" value="0" path="fpga_top.sb_2__1_.mem_bottom_track_1.mem_out[3]"> + </bit> + <bit id="2086" value="0" path="fpga_top.sb_2__1_.mem_bottom_track_1.mem_out[2]"> + </bit> + <bit id="2085" value="0" path="fpga_top.sb_2__1_.mem_bottom_track_1.mem_out[1]"> + </bit> + <bit id="2084" value="0" path="fpga_top.sb_2__1_.mem_bottom_track_1.mem_out[0]"> + </bit> + <bit id="2083" value="0" path="fpga_top.sb_2__1_.mem_right_track_16.mem_out[3]"> + </bit> + <bit id="2082" value="0" path="fpga_top.sb_2__1_.mem_right_track_16.mem_out[2]"> + </bit> + <bit id="2081" value="0" path="fpga_top.sb_2__1_.mem_right_track_16.mem_out[1]"> + </bit> + <bit id="2080" value="0" path="fpga_top.sb_2__1_.mem_right_track_16.mem_out[0]"> + </bit> + <bit id="2079" value="0" path="fpga_top.sb_2__1_.mem_right_track_8.mem_out[3]"> + </bit> + <bit id="2078" value="0" path="fpga_top.sb_2__1_.mem_right_track_8.mem_out[2]"> + </bit> + <bit id="2077" value="0" path="fpga_top.sb_2__1_.mem_right_track_8.mem_out[1]"> + </bit> + <bit id="2076" value="0" path="fpga_top.sb_2__1_.mem_right_track_8.mem_out[0]"> + </bit> + <bit id="2075" value="0" path="fpga_top.sb_2__1_.mem_right_track_0.mem_out[3]"> + </bit> + <bit id="2074" value="0" path="fpga_top.sb_2__1_.mem_right_track_0.mem_out[2]"> + </bit> + <bit id="2073" value="0" path="fpga_top.sb_2__1_.mem_right_track_0.mem_out[1]"> + </bit> + <bit id="2072" value="0" path="fpga_top.sb_2__1_.mem_right_track_0.mem_out[0]"> + </bit> + <bit id="2071" value="0" path="fpga_top.sb_2__1_.mem_top_track_16.mem_out[3]"> + </bit> + <bit id="2070" value="0" path="fpga_top.sb_2__1_.mem_top_track_16.mem_out[2]"> + </bit> + <bit id="2069" value="0" path="fpga_top.sb_2__1_.mem_top_track_16.mem_out[1]"> + </bit> + <bit id="2068" value="0" path="fpga_top.sb_2__1_.mem_top_track_16.mem_out[0]"> + </bit> + <bit id="2067" value="0" path="fpga_top.sb_2__1_.mem_top_track_8.mem_out[3]"> + </bit> + <bit id="2066" value="0" path="fpga_top.sb_2__1_.mem_top_track_8.mem_out[2]"> + </bit> + <bit id="2065" value="0" path="fpga_top.sb_2__1_.mem_top_track_8.mem_out[1]"> + </bit> + <bit id="2064" value="0" path="fpga_top.sb_2__1_.mem_top_track_8.mem_out[0]"> + </bit> + <bit id="2063" value="0" path="fpga_top.sb_2__1_.mem_top_track_0.mem_out[3]"> + </bit> + <bit id="2062" value="0" path="fpga_top.sb_2__1_.mem_top_track_0.mem_out[2]"> + </bit> + <bit id="2061" value="0" path="fpga_top.sb_2__1_.mem_top_track_0.mem_out[1]"> + </bit> + <bit id="2060" value="0" path="fpga_top.sb_2__1_.mem_top_track_0.mem_out[0]"> + </bit> + <bit id="2059" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[3]"> + </bit> + <bit id="2058" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[2]"> + </bit> + <bit id="2057" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[1]"> + </bit> + <bit id="2056" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[0]"> + </bit> + <bit id="2055" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[3]"> + </bit> + <bit id="2054" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[2]"> + </bit> + <bit id="2053" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[1]"> + </bit> + <bit id="2052" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[0]"> + </bit> + <bit id="2051" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[3]"> + </bit> + <bit id="2050" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[2]"> + </bit> + <bit id="2049" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[1]"> + </bit> + <bit id="2048" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[0]"> + </bit> + <bit id="2047" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[3]"> + </bit> + <bit id="2046" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[2]"> + </bit> + <bit id="2045" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[1]"> + </bit> + <bit id="2044" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[0]"> + </bit> + <bit id="2043" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[3]"> + </bit> + <bit id="2042" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[2]"> + </bit> + <bit id="2041" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[1]"> + </bit> + <bit id="2040" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[0]"> + </bit> + <bit id="2039" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[3]"> + </bit> + <bit id="2038" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[2]"> + </bit> + <bit id="2037" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[1]"> + </bit> + <bit id="2036" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[0]"> + </bit> + <bit id="2035" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[3]"> + </bit> + <bit id="2034" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[2]"> + </bit> + <bit id="2033" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[1]"> + </bit> + <bit id="2032" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[0]"> + </bit> + <bit id="2031" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[3]"> + </bit> + <bit id="2030" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[2]"> + </bit> + <bit id="2029" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[1]"> + </bit> + <bit id="2028" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[0]"> + </bit> + <bit id="2027" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[3]"> + </bit> + <bit id="2026" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[2]"> + </bit> + <bit id="2025" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[1]"> + </bit> + <bit id="2024" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[0]"> + </bit> + <bit id="2023" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[3]"> + </bit> + <bit id="2022" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[2]"> + </bit> + <bit id="2021" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[1]"> + </bit> + <bit id="2020" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[0]"> + </bit> + <bit id="2019" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[3]"> + </bit> + <bit id="2018" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[2]"> + </bit> + <bit id="2017" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[1]"> + </bit> + <bit id="2016" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[0]"> + </bit> + <bit id="2015" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[3]"> + </bit> + <bit id="2014" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[2]"> + </bit> + <bit id="2013" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[1]"> + </bit> + <bit id="2012" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[0]"> + </bit> + <bit id="2011" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[3]"> + </bit> + <bit id="2010" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[2]"> + </bit> + <bit id="2009" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[1]"> + </bit> + <bit id="2008" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[0]"> + </bit> + <bit id="2007" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[3]"> + </bit> + <bit id="2006" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[2]"> + </bit> + <bit id="2005" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[1]"> + </bit> + <bit id="2004" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[0]"> + </bit> + <bit id="2003" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[3]"> + </bit> + <bit id="2002" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[2]"> + </bit> + <bit id="2001" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[1]"> + </bit> + <bit id="2000" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[0]"> + </bit> + <bit id="1999" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[3]"> + </bit> + <bit id="1998" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[2]"> + </bit> + <bit id="1997" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[1]"> + </bit> + <bit id="1996" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[0]"> + </bit> + <bit id="1995" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="1994" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="1993" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="1992" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="1991" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="1990" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="1989" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="1988" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="1987" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="1986" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="1985" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="1984" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="1983" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="1982" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="1981" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="1980" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="1979" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="1978" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="1977" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="1976" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="1975" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="1974" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="1973" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="1972" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="1971" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="1970" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="1969" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="1968" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="1967" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="1966" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="1965" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="1964" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="1963" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="1962" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="1961" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="1960" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="1959" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="1958" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="1957" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="1956" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="1955" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="1954" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="1953" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="1952" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="1951" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="1950" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="1949" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="1948" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="1947" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="1946" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="1945" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="1944" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="1943" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="1942" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="1941" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="1940" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="1939" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="1938" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="1937" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="1936" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="1935" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="1934" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="1933" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="1932" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="1931" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="1930" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="1929" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="1928" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="1927" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="1926" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="1925" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="1924" value="0" path="fpga_top.grid_clb_3__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="1923" value="0" path="fpga_top.cby_3__2_.mem_right_ipin_2.mem_out[1]"> + </bit> + <bit id="1922" value="0" path="fpga_top.cby_3__2_.mem_right_ipin_2.mem_out[0]"> + </bit> + <bit id="1921" value="0" path="fpga_top.cby_3__2_.mem_right_ipin_1.mem_out[1]"> + </bit> + <bit id="1920" value="0" path="fpga_top.cby_3__2_.mem_right_ipin_1.mem_out[0]"> + </bit> + <bit id="1919" value="0" path="fpga_top.cby_3__2_.mem_right_ipin_0.mem_out[2]"> + </bit> + <bit id="1918" value="0" path="fpga_top.cby_3__2_.mem_right_ipin_0.mem_out[1]"> + </bit> + <bit id="1917" value="0" path="fpga_top.cby_3__2_.mem_right_ipin_0.mem_out[0]"> + </bit> + <bit id="1916" value="0" path="fpga_top.cby_3__2_.mem_left_ipin_1.mem_out[1]"> + </bit> + <bit id="1915" value="0" path="fpga_top.cby_3__2_.mem_left_ipin_1.mem_out[0]"> + </bit> + <bit id="1914" value="0" path="fpga_top.cby_3__2_.mem_left_ipin_0.mem_out[2]"> + </bit> + <bit id="1913" value="0" path="fpga_top.cby_3__2_.mem_left_ipin_0.mem_out[1]"> + </bit> + <bit id="1912" value="0" path="fpga_top.cby_3__2_.mem_left_ipin_0.mem_out[0]"> + </bit> + <bit id="1911" value="0" path="fpga_top.cbx_3__1_.mem_top_ipin_2.mem_out[1]"> + </bit> + <bit id="1910" value="0" path="fpga_top.cbx_3__1_.mem_top_ipin_2.mem_out[0]"> + </bit> + <bit id="1909" value="0" path="fpga_top.cbx_3__1_.mem_top_ipin_1.mem_out[2]"> + </bit> + <bit id="1908" value="0" path="fpga_top.cbx_3__1_.mem_top_ipin_1.mem_out[1]"> + </bit> + <bit id="1907" value="0" path="fpga_top.cbx_3__1_.mem_top_ipin_1.mem_out[0]"> + </bit> + <bit id="1906" value="0" path="fpga_top.cbx_3__1_.mem_top_ipin_0.mem_out[2]"> + </bit> + <bit id="1905" value="0" path="fpga_top.cbx_3__1_.mem_top_ipin_0.mem_out[1]"> + </bit> + <bit id="1904" value="0" path="fpga_top.cbx_3__1_.mem_top_ipin_0.mem_out[0]"> + </bit> + <bit id="1903" value="0" path="fpga_top.cbx_3__1_.mem_bottom_ipin_2.mem_out[2]"> + </bit> + <bit id="1902" value="0" path="fpga_top.cbx_3__1_.mem_bottom_ipin_2.mem_out[1]"> + </bit> + <bit id="1901" value="0" path="fpga_top.cbx_3__1_.mem_bottom_ipin_2.mem_out[0]"> + </bit> + <bit id="1900" value="0" path="fpga_top.cbx_3__1_.mem_bottom_ipin_1.mem_out[1]"> + </bit> + <bit id="1899" value="0" path="fpga_top.cbx_3__1_.mem_bottom_ipin_1.mem_out[0]"> + </bit> + <bit id="1898" value="0" path="fpga_top.cbx_3__1_.mem_bottom_ipin_0.mem_out[2]"> + </bit> + <bit id="1897" value="0" path="fpga_top.cbx_3__1_.mem_bottom_ipin_0.mem_out[1]"> + </bit> + <bit id="1896" value="0" path="fpga_top.cbx_3__1_.mem_bottom_ipin_0.mem_out[0]"> + </bit> + <bit id="1895" value="0" path="fpga_top.sb_3__1_.mem_left_track_17.mem_out[3]"> + </bit> + <bit id="1894" value="0" path="fpga_top.sb_3__1_.mem_left_track_17.mem_out[2]"> + </bit> + <bit id="1893" value="0" path="fpga_top.sb_3__1_.mem_left_track_17.mem_out[1]"> + </bit> + <bit id="1892" value="0" path="fpga_top.sb_3__1_.mem_left_track_17.mem_out[0]"> + </bit> + <bit id="1891" value="0" path="fpga_top.sb_3__1_.mem_left_track_9.mem_out[3]"> + </bit> + <bit id="1890" value="0" path="fpga_top.sb_3__1_.mem_left_track_9.mem_out[2]"> + </bit> + <bit id="1889" value="0" path="fpga_top.sb_3__1_.mem_left_track_9.mem_out[1]"> + </bit> + <bit id="1888" value="0" path="fpga_top.sb_3__1_.mem_left_track_9.mem_out[0]"> + </bit> + <bit id="1887" value="0" path="fpga_top.sb_3__1_.mem_left_track_1.mem_out[3]"> + </bit> + <bit id="1886" value="0" path="fpga_top.sb_3__1_.mem_left_track_1.mem_out[2]"> + </bit> + <bit id="1885" value="0" path="fpga_top.sb_3__1_.mem_left_track_1.mem_out[1]"> + </bit> + <bit id="1884" value="0" path="fpga_top.sb_3__1_.mem_left_track_1.mem_out[0]"> + </bit> + <bit id="1883" value="0" path="fpga_top.sb_3__1_.mem_bottom_track_17.mem_out[3]"> + </bit> + <bit id="1882" value="0" path="fpga_top.sb_3__1_.mem_bottom_track_17.mem_out[2]"> + </bit> + <bit id="1881" value="0" path="fpga_top.sb_3__1_.mem_bottom_track_17.mem_out[1]"> + </bit> + <bit id="1880" value="0" path="fpga_top.sb_3__1_.mem_bottom_track_17.mem_out[0]"> + </bit> + <bit id="1879" value="0" path="fpga_top.sb_3__1_.mem_bottom_track_9.mem_out[3]"> + </bit> + <bit id="1878" value="0" path="fpga_top.sb_3__1_.mem_bottom_track_9.mem_out[2]"> + </bit> + <bit id="1877" value="0" path="fpga_top.sb_3__1_.mem_bottom_track_9.mem_out[1]"> + </bit> + <bit id="1876" value="0" path="fpga_top.sb_3__1_.mem_bottom_track_9.mem_out[0]"> + </bit> + <bit id="1875" value="0" path="fpga_top.sb_3__1_.mem_bottom_track_1.mem_out[3]"> + </bit> + <bit id="1874" value="0" path="fpga_top.sb_3__1_.mem_bottom_track_1.mem_out[2]"> + </bit> + <bit id="1873" value="0" path="fpga_top.sb_3__1_.mem_bottom_track_1.mem_out[1]"> + </bit> + <bit id="1872" value="0" path="fpga_top.sb_3__1_.mem_bottom_track_1.mem_out[0]"> + </bit> + <bit id="1871" value="0" path="fpga_top.sb_3__1_.mem_right_track_16.mem_out[3]"> + </bit> + <bit id="1870" value="0" path="fpga_top.sb_3__1_.mem_right_track_16.mem_out[2]"> + </bit> + <bit id="1869" value="0" path="fpga_top.sb_3__1_.mem_right_track_16.mem_out[1]"> + </bit> + <bit id="1868" value="0" path="fpga_top.sb_3__1_.mem_right_track_16.mem_out[0]"> + </bit> + <bit id="1867" value="0" path="fpga_top.sb_3__1_.mem_right_track_8.mem_out[3]"> + </bit> + <bit id="1866" value="0" path="fpga_top.sb_3__1_.mem_right_track_8.mem_out[2]"> + </bit> + <bit id="1865" value="0" path="fpga_top.sb_3__1_.mem_right_track_8.mem_out[1]"> + </bit> + <bit id="1864" value="0" path="fpga_top.sb_3__1_.mem_right_track_8.mem_out[0]"> + </bit> + <bit id="1863" value="0" path="fpga_top.sb_3__1_.mem_right_track_0.mem_out[3]"> + </bit> + <bit id="1862" value="0" path="fpga_top.sb_3__1_.mem_right_track_0.mem_out[2]"> + </bit> + <bit id="1861" value="0" path="fpga_top.sb_3__1_.mem_right_track_0.mem_out[1]"> + </bit> + <bit id="1860" value="0" path="fpga_top.sb_3__1_.mem_right_track_0.mem_out[0]"> + </bit> + <bit id="1859" value="0" path="fpga_top.sb_3__1_.mem_top_track_16.mem_out[3]"> + </bit> + <bit id="1858" value="0" path="fpga_top.sb_3__1_.mem_top_track_16.mem_out[2]"> + </bit> + <bit id="1857" value="0" path="fpga_top.sb_3__1_.mem_top_track_16.mem_out[1]"> + </bit> + <bit id="1856" value="0" path="fpga_top.sb_3__1_.mem_top_track_16.mem_out[0]"> + </bit> + <bit id="1855" value="0" path="fpga_top.sb_3__1_.mem_top_track_8.mem_out[3]"> + </bit> + <bit id="1854" value="0" path="fpga_top.sb_3__1_.mem_top_track_8.mem_out[2]"> + </bit> + <bit id="1853" value="0" path="fpga_top.sb_3__1_.mem_top_track_8.mem_out[1]"> + </bit> + <bit id="1852" value="0" path="fpga_top.sb_3__1_.mem_top_track_8.mem_out[0]"> + </bit> + <bit id="1851" value="0" path="fpga_top.sb_3__1_.mem_top_track_0.mem_out[3]"> + </bit> + <bit id="1850" value="0" path="fpga_top.sb_3__1_.mem_top_track_0.mem_out[2]"> + </bit> + <bit id="1849" value="0" path="fpga_top.sb_3__1_.mem_top_track_0.mem_out[1]"> + </bit> + <bit id="1848" value="0" path="fpga_top.sb_3__1_.mem_top_track_0.mem_out[0]"> + </bit> + <bit id="1847" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[3]"> + </bit> + <bit id="1846" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[2]"> + </bit> + <bit id="1845" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[1]"> + </bit> + <bit id="1844" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[0]"> + </bit> + <bit id="1843" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[3]"> + </bit> + <bit id="1842" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[2]"> + </bit> + <bit id="1841" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[1]"> + </bit> + <bit id="1840" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[0]"> + </bit> + <bit id="1839" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[3]"> + </bit> + <bit id="1838" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[2]"> + </bit> + <bit id="1837" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[1]"> + </bit> + <bit id="1836" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[0]"> + </bit> + <bit id="1835" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[3]"> + </bit> + <bit id="1834" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[2]"> + </bit> + <bit id="1833" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[1]"> + </bit> + <bit id="1832" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[0]"> + </bit> + <bit id="1831" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[3]"> + </bit> + <bit id="1830" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[2]"> + </bit> + <bit id="1829" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[1]"> + </bit> + <bit id="1828" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[0]"> + </bit> + <bit id="1827" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[3]"> + </bit> + <bit id="1826" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[2]"> + </bit> + <bit id="1825" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[1]"> + </bit> + <bit id="1824" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[0]"> + </bit> + <bit id="1823" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[3]"> + </bit> + <bit id="1822" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[2]"> + </bit> + <bit id="1821" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[1]"> + </bit> + <bit id="1820" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[0]"> + </bit> + <bit id="1819" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[3]"> + </bit> + <bit id="1818" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[2]"> + </bit> + <bit id="1817" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[1]"> + </bit> + <bit id="1816" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[0]"> + </bit> + <bit id="1815" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[3]"> + </bit> + <bit id="1814" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[2]"> + </bit> + <bit id="1813" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[1]"> + </bit> + <bit id="1812" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[0]"> + </bit> + <bit id="1811" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[3]"> + </bit> + <bit id="1810" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[2]"> + </bit> + <bit id="1809" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[1]"> + </bit> + <bit id="1808" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[0]"> + </bit> + <bit id="1807" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[3]"> + </bit> + <bit id="1806" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[2]"> + </bit> + <bit id="1805" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[1]"> + </bit> + <bit id="1804" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[0]"> + </bit> + <bit id="1803" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[3]"> + </bit> + <bit id="1802" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[2]"> + </bit> + <bit id="1801" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[1]"> + </bit> + <bit id="1800" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[0]"> + </bit> + <bit id="1799" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[3]"> + </bit> + <bit id="1798" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[2]"> + </bit> + <bit id="1797" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[1]"> + </bit> + <bit id="1796" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[0]"> + </bit> + <bit id="1795" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[3]"> + </bit> + <bit id="1794" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[2]"> + </bit> + <bit id="1793" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[1]"> + </bit> + <bit id="1792" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[0]"> + </bit> + <bit id="1791" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[3]"> + </bit> + <bit id="1790" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[2]"> + </bit> + <bit id="1789" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[1]"> + </bit> + <bit id="1788" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[0]"> + </bit> + <bit id="1787" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[3]"> + </bit> + <bit id="1786" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[2]"> + </bit> + <bit id="1785" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[1]"> + </bit> + <bit id="1784" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[0]"> + </bit> + <bit id="1783" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="1782" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="1781" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="1780" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="1779" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="1778" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="1777" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="1776" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="1775" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="1774" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="1773" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="1772" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="1771" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="1770" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="1769" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="1768" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="1767" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="1766" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="1765" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="1764" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="1763" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="1762" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="1761" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="1760" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="1759" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="1758" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="1757" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="1756" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="1755" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="1754" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="1753" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="1752" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="1751" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="1750" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="1749" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="1748" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="1747" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="1746" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="1745" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="1744" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="1743" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="1742" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="1741" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="1740" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="1739" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="1738" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="1737" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="1736" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="1735" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="1734" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="1733" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="1732" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="1731" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="1730" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="1729" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="1728" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="1727" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="1726" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="1725" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="1724" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="1723" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="1722" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="1721" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="1720" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="1719" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="1718" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="1717" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="1716" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="1715" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="1714" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="1713" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="1712" value="0" path="fpga_top.grid_clb_4__2_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="1711" value="0" path="fpga_top.cby_4__2_.mem_right_ipin_2.mem_out[1]"> + </bit> + <bit id="1710" value="0" path="fpga_top.cby_4__2_.mem_right_ipin_2.mem_out[0]"> + </bit> + <bit id="1709" value="0" path="fpga_top.cby_4__2_.mem_right_ipin_1.mem_out[1]"> + </bit> + <bit id="1708" value="0" path="fpga_top.cby_4__2_.mem_right_ipin_1.mem_out[0]"> + </bit> + <bit id="1707" value="0" path="fpga_top.cby_4__2_.mem_right_ipin_0.mem_out[2]"> + </bit> + <bit id="1706" value="0" path="fpga_top.cby_4__2_.mem_right_ipin_0.mem_out[1]"> + </bit> + <bit id="1705" value="0" path="fpga_top.cby_4__2_.mem_right_ipin_0.mem_out[0]"> + </bit> + <bit id="1704" value="0" path="fpga_top.cby_4__2_.mem_left_ipin_7.mem_out[2]"> + </bit> + <bit id="1703" value="0" path="fpga_top.cby_4__2_.mem_left_ipin_7.mem_out[1]"> + </bit> + <bit id="1702" value="0" path="fpga_top.cby_4__2_.mem_left_ipin_7.mem_out[0]"> + </bit> + <bit id="1701" value="0" path="fpga_top.cby_4__2_.mem_left_ipin_6.mem_out[2]"> + </bit> + <bit id="1700" value="0" path="fpga_top.cby_4__2_.mem_left_ipin_6.mem_out[1]"> + </bit> + <bit id="1699" value="0" path="fpga_top.cby_4__2_.mem_left_ipin_6.mem_out[0]"> + </bit> + <bit id="1698" value="0" path="fpga_top.cby_4__2_.mem_left_ipin_5.mem_out[2]"> + </bit> + <bit id="1697" value="0" path="fpga_top.cby_4__2_.mem_left_ipin_5.mem_out[1]"> + </bit> + <bit id="1696" value="0" path="fpga_top.cby_4__2_.mem_left_ipin_5.mem_out[0]"> + </bit> + <bit id="1695" value="0" path="fpga_top.cby_4__2_.mem_left_ipin_4.mem_out[2]"> + </bit> + <bit id="1694" value="0" path="fpga_top.cby_4__2_.mem_left_ipin_4.mem_out[1]"> + </bit> + <bit id="1693" value="0" path="fpga_top.cby_4__2_.mem_left_ipin_4.mem_out[0]"> + </bit> + <bit id="1692" value="0" path="fpga_top.cby_4__2_.mem_left_ipin_3.mem_out[2]"> + </bit> + <bit id="1691" value="0" path="fpga_top.cby_4__2_.mem_left_ipin_3.mem_out[1]"> + </bit> + <bit id="1690" value="0" path="fpga_top.cby_4__2_.mem_left_ipin_3.mem_out[0]"> + </bit> + <bit id="1689" value="0" path="fpga_top.cby_4__2_.mem_left_ipin_2.mem_out[2]"> + </bit> + <bit id="1688" value="0" path="fpga_top.cby_4__2_.mem_left_ipin_2.mem_out[1]"> + </bit> + <bit id="1687" value="0" path="fpga_top.cby_4__2_.mem_left_ipin_2.mem_out[0]"> + </bit> + <bit id="1686" value="0" path="fpga_top.cby_4__2_.mem_left_ipin_1.mem_out[2]"> + </bit> + <bit id="1685" value="0" path="fpga_top.cby_4__2_.mem_left_ipin_1.mem_out[1]"> + </bit> + <bit id="1684" value="0" path="fpga_top.cby_4__2_.mem_left_ipin_1.mem_out[0]"> + </bit> + <bit id="1683" value="0" path="fpga_top.cby_4__2_.mem_left_ipin_0.mem_out[2]"> + </bit> + <bit id="1682" value="0" path="fpga_top.cby_4__2_.mem_left_ipin_0.mem_out[1]"> + </bit> + <bit id="1681" value="0" path="fpga_top.cby_4__2_.mem_left_ipin_0.mem_out[0]"> + </bit> + <bit id="1680" value="0" path="fpga_top.cbx_4__1_.mem_top_ipin_2.mem_out[1]"> + </bit> + <bit id="1679" value="0" path="fpga_top.cbx_4__1_.mem_top_ipin_2.mem_out[0]"> + </bit> + <bit id="1678" value="0" path="fpga_top.cbx_4__1_.mem_top_ipin_1.mem_out[2]"> + </bit> + <bit id="1677" value="0" path="fpga_top.cbx_4__1_.mem_top_ipin_1.mem_out[1]"> + </bit> + <bit id="1676" value="0" path="fpga_top.cbx_4__1_.mem_top_ipin_1.mem_out[0]"> + </bit> + <bit id="1675" value="0" path="fpga_top.cbx_4__1_.mem_top_ipin_0.mem_out[2]"> + </bit> + <bit id="1674" value="0" path="fpga_top.cbx_4__1_.mem_top_ipin_0.mem_out[1]"> + </bit> + <bit id="1673" value="0" path="fpga_top.cbx_4__1_.mem_top_ipin_0.mem_out[0]"> + </bit> + <bit id="1672" value="0" path="fpga_top.cbx_4__1_.mem_bottom_ipin_2.mem_out[2]"> + </bit> + <bit id="1671" value="0" path="fpga_top.cbx_4__1_.mem_bottom_ipin_2.mem_out[1]"> + </bit> + <bit id="1670" value="0" path="fpga_top.cbx_4__1_.mem_bottom_ipin_2.mem_out[0]"> + </bit> + <bit id="1669" value="0" path="fpga_top.cbx_4__1_.mem_bottom_ipin_1.mem_out[1]"> + </bit> + <bit id="1668" value="0" path="fpga_top.cbx_4__1_.mem_bottom_ipin_1.mem_out[0]"> + </bit> + <bit id="1667" value="0" path="fpga_top.cbx_4__1_.mem_bottom_ipin_0.mem_out[2]"> + </bit> + <bit id="1666" value="0" path="fpga_top.cbx_4__1_.mem_bottom_ipin_0.mem_out[1]"> + </bit> + <bit id="1665" value="0" path="fpga_top.cbx_4__1_.mem_bottom_ipin_0.mem_out[0]"> + </bit> + <bit id="1664" value="0" path="fpga_top.sb_4__1_.mem_left_track_19.mem_out[1]"> + </bit> + <bit id="1663" value="0" path="fpga_top.sb_4__1_.mem_left_track_19.mem_out[0]"> + </bit> + <bit id="1662" value="0" path="fpga_top.sb_4__1_.mem_left_track_17.mem_out[1]"> + </bit> + <bit id="1661" value="0" path="fpga_top.sb_4__1_.mem_left_track_17.mem_out[0]"> + </bit> + <bit id="1660" value="0" path="fpga_top.sb_4__1_.mem_left_track_15.mem_out[1]"> + </bit> + <bit id="1659" value="0" path="fpga_top.sb_4__1_.mem_left_track_15.mem_out[0]"> + </bit> + <bit id="1658" value="0" path="fpga_top.sb_4__1_.mem_left_track_13.mem_out[1]"> + </bit> + <bit id="1657" value="0" path="fpga_top.sb_4__1_.mem_left_track_13.mem_out[0]"> + </bit> + <bit id="1656" value="0" path="fpga_top.sb_4__1_.mem_left_track_11.mem_out[1]"> + </bit> + <bit id="1655" value="0" path="fpga_top.sb_4__1_.mem_left_track_11.mem_out[0]"> + </bit> + <bit id="1654" value="0" path="fpga_top.sb_4__1_.mem_left_track_9.mem_out[1]"> + </bit> + <bit id="1653" value="0" path="fpga_top.sb_4__1_.mem_left_track_9.mem_out[0]"> + </bit> + <bit id="1652" value="0" path="fpga_top.sb_4__1_.mem_left_track_7.mem_out[1]"> + </bit> + <bit id="1651" value="0" path="fpga_top.sb_4__1_.mem_left_track_7.mem_out[0]"> + </bit> + <bit id="1650" value="0" path="fpga_top.sb_4__1_.mem_left_track_5.mem_out[1]"> + </bit> + <bit id="1649" value="0" path="fpga_top.sb_4__1_.mem_left_track_5.mem_out[0]"> + </bit> + <bit id="1648" value="0" path="fpga_top.sb_4__1_.mem_left_track_3.mem_out[1]"> + </bit> + <bit id="1647" value="0" path="fpga_top.sb_4__1_.mem_left_track_3.mem_out[0]"> + </bit> + <bit id="1646" value="0" path="fpga_top.sb_4__1_.mem_left_track_1.mem_out[1]"> + </bit> + <bit id="1645" value="0" path="fpga_top.sb_4__1_.mem_left_track_1.mem_out[0]"> + </bit> + <bit id="1644" value="0" path="fpga_top.sb_4__1_.mem_bottom_track_17.mem_out[3]"> + </bit> + <bit id="1643" value="0" path="fpga_top.sb_4__1_.mem_bottom_track_17.mem_out[2]"> + </bit> + <bit id="1642" value="0" path="fpga_top.sb_4__1_.mem_bottom_track_17.mem_out[1]"> + </bit> + <bit id="1641" value="0" path="fpga_top.sb_4__1_.mem_bottom_track_17.mem_out[0]"> + </bit> + <bit id="1640" value="0" path="fpga_top.sb_4__1_.mem_bottom_track_9.mem_out[3]"> + </bit> + <bit id="1639" value="0" path="fpga_top.sb_4__1_.mem_bottom_track_9.mem_out[2]"> + </bit> + <bit id="1638" value="0" path="fpga_top.sb_4__1_.mem_bottom_track_9.mem_out[1]"> + </bit> + <bit id="1637" value="0" path="fpga_top.sb_4__1_.mem_bottom_track_9.mem_out[0]"> + </bit> + <bit id="1636" value="0" path="fpga_top.sb_4__1_.mem_bottom_track_1.mem_out[3]"> + </bit> + <bit id="1635" value="0" path="fpga_top.sb_4__1_.mem_bottom_track_1.mem_out[2]"> + </bit> + <bit id="1634" value="0" path="fpga_top.sb_4__1_.mem_bottom_track_1.mem_out[1]"> + </bit> + <bit id="1633" value="0" path="fpga_top.sb_4__1_.mem_bottom_track_1.mem_out[0]"> + </bit> + <bit id="1632" value="0" path="fpga_top.sb_4__1_.mem_top_track_16.mem_out[3]"> + </bit> + <bit id="1631" value="0" path="fpga_top.sb_4__1_.mem_top_track_16.mem_out[2]"> + </bit> + <bit id="1630" value="0" path="fpga_top.sb_4__1_.mem_top_track_16.mem_out[1]"> + </bit> + <bit id="1629" value="0" path="fpga_top.sb_4__1_.mem_top_track_16.mem_out[0]"> + </bit> + <bit id="1628" value="0" path="fpga_top.sb_4__1_.mem_top_track_8.mem_out[3]"> + </bit> + <bit id="1627" value="0" path="fpga_top.sb_4__1_.mem_top_track_8.mem_out[2]"> + </bit> + <bit id="1626" value="0" path="fpga_top.sb_4__1_.mem_top_track_8.mem_out[1]"> + </bit> + <bit id="1625" value="0" path="fpga_top.sb_4__1_.mem_top_track_8.mem_out[0]"> + </bit> + <bit id="1624" value="0" path="fpga_top.sb_4__1_.mem_top_track_0.mem_out[3]"> + </bit> + <bit id="1623" value="0" path="fpga_top.sb_4__1_.mem_top_track_0.mem_out[2]"> + </bit> + <bit id="1622" value="0" path="fpga_top.sb_4__1_.mem_top_track_0.mem_out[1]"> + </bit> + <bit id="1621" value="0" path="fpga_top.sb_4__1_.mem_top_track_0.mem_out[0]"> + </bit> + <bit id="1620" value="1" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[3]"> + </bit> + <bit id="1619" value="1" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[2]"> + </bit> + <bit id="1618" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[1]"> + </bit> + <bit id="1617" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[0]"> + </bit> + <bit id="1616" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[3]"> + </bit> + <bit id="1615" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[2]"> + </bit> + <bit id="1614" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[1]"> + </bit> + <bit id="1613" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[0]"> + </bit> + <bit id="1612" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[3]"> + </bit> + <bit id="1611" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[2]"> + </bit> + <bit id="1610" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[1]"> + </bit> + <bit id="1609" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[0]"> + </bit> + <bit id="1608" value="1" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[3]"> + </bit> + <bit id="1607" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[2]"> + </bit> + <bit id="1606" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[1]"> + </bit> + <bit id="1605" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[0]"> + </bit> + <bit id="1604" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[3]"> + </bit> + <bit id="1603" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[2]"> + </bit> + <bit id="1602" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[1]"> + </bit> + <bit id="1601" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[0]"> + </bit> + <bit id="1600" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[3]"> + </bit> + <bit id="1599" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[2]"> + </bit> + <bit id="1598" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[1]"> + </bit> + <bit id="1597" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[0]"> + </bit> + <bit id="1596" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[3]"> + </bit> + <bit id="1595" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[2]"> + </bit> + <bit id="1594" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[1]"> + </bit> + <bit id="1593" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[0]"> + </bit> + <bit id="1592" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[3]"> + </bit> + <bit id="1591" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[2]"> + </bit> + <bit id="1590" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[1]"> + </bit> + <bit id="1589" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[0]"> + </bit> + <bit id="1588" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[3]"> + </bit> + <bit id="1587" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[2]"> + </bit> + <bit id="1586" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[1]"> + </bit> + <bit id="1585" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[0]"> + </bit> + <bit id="1584" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[3]"> + </bit> + <bit id="1583" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[2]"> + </bit> + <bit id="1582" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[1]"> + </bit> + <bit id="1581" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[0]"> + </bit> + <bit id="1580" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[3]"> + </bit> + <bit id="1579" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[2]"> + </bit> + <bit id="1578" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[1]"> + </bit> + <bit id="1577" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[0]"> + </bit> + <bit id="1576" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[3]"> + </bit> + <bit id="1575" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[2]"> + </bit> + <bit id="1574" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[1]"> + </bit> + <bit id="1573" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[0]"> + </bit> + <bit id="1572" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[3]"> + </bit> + <bit id="1571" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[2]"> + </bit> + <bit id="1570" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[1]"> + </bit> + <bit id="1569" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[0]"> + </bit> + <bit id="1568" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[3]"> + </bit> + <bit id="1567" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[2]"> + </bit> + <bit id="1566" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[1]"> + </bit> + <bit id="1565" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[0]"> + </bit> + <bit id="1564" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[3]"> + </bit> + <bit id="1563" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[2]"> + </bit> + <bit id="1562" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[1]"> + </bit> + <bit id="1561" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[0]"> + </bit> + <bit id="1560" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[3]"> + </bit> + <bit id="1559" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[2]"> + </bit> + <bit id="1558" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[1]"> + </bit> + <bit id="1557" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[0]"> + </bit> + <bit id="1556" value="1" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="1555" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="1554" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="1553" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="1552" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="1551" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="1550" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="1549" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="1548" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="1547" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="1546" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="1545" value="1" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="1544" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="1543" value="1" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="1542" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="1541" value="1" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="1540" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="1539" value="1" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="1538" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="1537" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="1536" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="1535" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="1534" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="1533" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="1532" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="1531" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="1530" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="1529" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="1528" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="1527" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="1526" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="1525" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="1524" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="1523" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="1522" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="1521" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="1520" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="1519" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="1518" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="1517" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="1516" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="1515" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="1514" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="1513" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="1512" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="1511" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="1510" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="1509" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="1508" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="1507" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="1506" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="1505" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="1504" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="1503" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="1502" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="1501" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="1500" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="1499" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="1498" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="1497" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="1496" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="1495" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="1494" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="1493" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="1492" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="1491" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="1490" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="1489" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="1488" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="1487" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="1486" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="1485" value="0" path="fpga_top.grid_clb_4__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="1484" value="0" path="fpga_top.cby_4__1_.mem_right_ipin_2.mem_out[1]"> + </bit> + <bit id="1483" value="0" path="fpga_top.cby_4__1_.mem_right_ipin_2.mem_out[0]"> + </bit> + <bit id="1482" value="0" path="fpga_top.cby_4__1_.mem_right_ipin_1.mem_out[1]"> + </bit> + <bit id="1481" value="0" path="fpga_top.cby_4__1_.mem_right_ipin_1.mem_out[0]"> + </bit> + <bit id="1480" value="0" path="fpga_top.cby_4__1_.mem_right_ipin_0.mem_out[2]"> + </bit> + <bit id="1479" value="0" path="fpga_top.cby_4__1_.mem_right_ipin_0.mem_out[1]"> + </bit> + <bit id="1478" value="0" path="fpga_top.cby_4__1_.mem_right_ipin_0.mem_out[0]"> + </bit> + <bit id="1477" value="0" path="fpga_top.cby_4__1_.mem_left_ipin_7.mem_out[2]"> + </bit> + <bit id="1476" value="0" path="fpga_top.cby_4__1_.mem_left_ipin_7.mem_out[1]"> + </bit> + <bit id="1475" value="0" path="fpga_top.cby_4__1_.mem_left_ipin_7.mem_out[0]"> + </bit> + <bit id="1474" value="0" path="fpga_top.cby_4__1_.mem_left_ipin_6.mem_out[2]"> + </bit> + <bit id="1473" value="0" path="fpga_top.cby_4__1_.mem_left_ipin_6.mem_out[1]"> + </bit> + <bit id="1472" value="0" path="fpga_top.cby_4__1_.mem_left_ipin_6.mem_out[0]"> + </bit> + <bit id="1471" value="0" path="fpga_top.cby_4__1_.mem_left_ipin_5.mem_out[2]"> + </bit> + <bit id="1470" value="0" path="fpga_top.cby_4__1_.mem_left_ipin_5.mem_out[1]"> + </bit> + <bit id="1469" value="0" path="fpga_top.cby_4__1_.mem_left_ipin_5.mem_out[0]"> + </bit> + <bit id="1468" value="0" path="fpga_top.cby_4__1_.mem_left_ipin_4.mem_out[2]"> + </bit> + <bit id="1467" value="0" path="fpga_top.cby_4__1_.mem_left_ipin_4.mem_out[1]"> + </bit> + <bit id="1466" value="0" path="fpga_top.cby_4__1_.mem_left_ipin_4.mem_out[0]"> + </bit> + <bit id="1465" value="0" path="fpga_top.cby_4__1_.mem_left_ipin_3.mem_out[2]"> + </bit> + <bit id="1464" value="0" path="fpga_top.cby_4__1_.mem_left_ipin_3.mem_out[1]"> + </bit> + <bit id="1463" value="0" path="fpga_top.cby_4__1_.mem_left_ipin_3.mem_out[0]"> + </bit> + <bit id="1462" value="0" path="fpga_top.cby_4__1_.mem_left_ipin_2.mem_out[2]"> + </bit> + <bit id="1461" value="0" path="fpga_top.cby_4__1_.mem_left_ipin_2.mem_out[1]"> + </bit> + <bit id="1460" value="0" path="fpga_top.cby_4__1_.mem_left_ipin_2.mem_out[0]"> + </bit> + <bit id="1459" value="0" path="fpga_top.cby_4__1_.mem_left_ipin_1.mem_out[2]"> + </bit> + <bit id="1458" value="0" path="fpga_top.cby_4__1_.mem_left_ipin_1.mem_out[1]"> + </bit> + <bit id="1457" value="0" path="fpga_top.cby_4__1_.mem_left_ipin_1.mem_out[0]"> + </bit> + <bit id="1456" value="1" path="fpga_top.cby_4__1_.mem_left_ipin_0.mem_out[2]"> + </bit> + <bit id="1455" value="1" path="fpga_top.cby_4__1_.mem_left_ipin_0.mem_out[1]"> + </bit> + <bit id="1454" value="1" path="fpga_top.cby_4__1_.mem_left_ipin_0.mem_out[0]"> + </bit> + <bit id="1453" value="0" path="fpga_top.cbx_4__0_.mem_top_ipin_7.mem_out[2]"> + </bit> + <bit id="1452" value="0" path="fpga_top.cbx_4__0_.mem_top_ipin_7.mem_out[1]"> + </bit> + <bit id="1451" value="0" path="fpga_top.cbx_4__0_.mem_top_ipin_7.mem_out[0]"> + </bit> + <bit id="1450" value="0" path="fpga_top.cbx_4__0_.mem_top_ipin_6.mem_out[2]"> + </bit> + <bit id="1449" value="0" path="fpga_top.cbx_4__0_.mem_top_ipin_6.mem_out[1]"> + </bit> + <bit id="1448" value="0" path="fpga_top.cbx_4__0_.mem_top_ipin_6.mem_out[0]"> + </bit> + <bit id="1447" value="0" path="fpga_top.cbx_4__0_.mem_top_ipin_5.mem_out[2]"> + </bit> + <bit id="1446" value="0" path="fpga_top.cbx_4__0_.mem_top_ipin_5.mem_out[1]"> + </bit> + <bit id="1445" value="0" path="fpga_top.cbx_4__0_.mem_top_ipin_5.mem_out[0]"> + </bit> + <bit id="1444" value="0" path="fpga_top.cbx_4__0_.mem_top_ipin_4.mem_out[2]"> + </bit> + <bit id="1443" value="0" path="fpga_top.cbx_4__0_.mem_top_ipin_4.mem_out[1]"> + </bit> + <bit id="1442" value="0" path="fpga_top.cbx_4__0_.mem_top_ipin_4.mem_out[0]"> + </bit> + <bit id="1441" value="0" path="fpga_top.cbx_4__0_.mem_top_ipin_3.mem_out[2]"> + </bit> + <bit id="1440" value="0" path="fpga_top.cbx_4__0_.mem_top_ipin_3.mem_out[1]"> + </bit> + <bit id="1439" value="0" path="fpga_top.cbx_4__0_.mem_top_ipin_3.mem_out[0]"> + </bit> + <bit id="1438" value="0" path="fpga_top.cbx_4__0_.mem_top_ipin_2.mem_out[2]"> + </bit> + <bit id="1437" value="0" path="fpga_top.cbx_4__0_.mem_top_ipin_2.mem_out[1]"> + </bit> + <bit id="1436" value="0" path="fpga_top.cbx_4__0_.mem_top_ipin_2.mem_out[0]"> + </bit> + <bit id="1435" value="0" path="fpga_top.cbx_4__0_.mem_top_ipin_1.mem_out[2]"> + </bit> + <bit id="1434" value="0" path="fpga_top.cbx_4__0_.mem_top_ipin_1.mem_out[1]"> + </bit> + <bit id="1433" value="0" path="fpga_top.cbx_4__0_.mem_top_ipin_1.mem_out[0]"> + </bit> + <bit id="1432" value="0" path="fpga_top.cbx_4__0_.mem_top_ipin_0.mem_out[2]"> + </bit> + <bit id="1431" value="0" path="fpga_top.cbx_4__0_.mem_top_ipin_0.mem_out[1]"> + </bit> + <bit id="1430" value="0" path="fpga_top.cbx_4__0_.mem_top_ipin_0.mem_out[0]"> + </bit> + <bit id="1429" value="0" path="fpga_top.cbx_4__0_.mem_bottom_ipin_2.mem_out[2]"> + </bit> + <bit id="1428" value="0" path="fpga_top.cbx_4__0_.mem_bottom_ipin_2.mem_out[1]"> + </bit> + <bit id="1427" value="0" path="fpga_top.cbx_4__0_.mem_bottom_ipin_2.mem_out[0]"> + </bit> + <bit id="1426" value="0" path="fpga_top.cbx_4__0_.mem_bottom_ipin_1.mem_out[1]"> + </bit> + <bit id="1425" value="0" path="fpga_top.cbx_4__0_.mem_bottom_ipin_1.mem_out[0]"> + </bit> + <bit id="1424" value="0" path="fpga_top.cbx_4__0_.mem_bottom_ipin_0.mem_out[2]"> + </bit> + <bit id="1423" value="0" path="fpga_top.cbx_4__0_.mem_bottom_ipin_0.mem_out[1]"> + </bit> + <bit id="1422" value="0" path="fpga_top.cbx_4__0_.mem_bottom_ipin_0.mem_out[0]"> + </bit> + <bit id="1421" value="1" path="fpga_top.sb_4__0_.mem_left_track_17.mem_out[1]"> + </bit> + <bit id="1420" value="0" path="fpga_top.sb_4__0_.mem_left_track_17.mem_out[0]"> + </bit> + <bit id="1419" value="0" path="fpga_top.sb_4__0_.mem_left_track_15.mem_out[1]"> + </bit> + <bit id="1418" value="0" path="fpga_top.sb_4__0_.mem_left_track_15.mem_out[0]"> + </bit> + <bit id="1417" value="0" path="fpga_top.sb_4__0_.mem_left_track_13.mem_out[1]"> + </bit> + <bit id="1416" value="0" path="fpga_top.sb_4__0_.mem_left_track_13.mem_out[0]"> + </bit> + <bit id="1415" value="0" path="fpga_top.sb_4__0_.mem_left_track_11.mem_out[1]"> + </bit> + <bit id="1414" value="0" path="fpga_top.sb_4__0_.mem_left_track_11.mem_out[0]"> + </bit> + <bit id="1413" value="0" path="fpga_top.sb_4__0_.mem_left_track_9.mem_out[1]"> + </bit> + <bit id="1412" value="0" path="fpga_top.sb_4__0_.mem_left_track_9.mem_out[0]"> + </bit> + <bit id="1411" value="0" path="fpga_top.sb_4__0_.mem_left_track_7.mem_out[1]"> + </bit> + <bit id="1410" value="0" path="fpga_top.sb_4__0_.mem_left_track_7.mem_out[0]"> + </bit> + <bit id="1409" value="1" path="fpga_top.sb_4__0_.mem_left_track_5.mem_out[1]"> + </bit> + <bit id="1408" value="0" path="fpga_top.sb_4__0_.mem_left_track_5.mem_out[0]"> + </bit> + <bit id="1407" value="0" path="fpga_top.sb_4__0_.mem_left_track_3.mem_out[1]"> + </bit> + <bit id="1406" value="0" path="fpga_top.sb_4__0_.mem_left_track_3.mem_out[0]"> + </bit> + <bit id="1405" value="0" path="fpga_top.sb_4__0_.mem_left_track_1.mem_out[1]"> + </bit> + <bit id="1404" value="0" path="fpga_top.sb_4__0_.mem_left_track_1.mem_out[0]"> + </bit> + <bit id="1403" value="0" path="fpga_top.sb_4__0_.mem_top_track_16.mem_out[1]"> + </bit> + <bit id="1402" value="0" path="fpga_top.sb_4__0_.mem_top_track_16.mem_out[0]"> + </bit> + <bit id="1401" value="0" path="fpga_top.sb_4__0_.mem_top_track_14.mem_out[1]"> + </bit> + <bit id="1400" value="0" path="fpga_top.sb_4__0_.mem_top_track_14.mem_out[0]"> + </bit> + <bit id="1399" value="0" path="fpga_top.sb_4__0_.mem_top_track_12.mem_out[1]"> + </bit> + <bit id="1398" value="0" path="fpga_top.sb_4__0_.mem_top_track_12.mem_out[0]"> + </bit> + <bit id="1397" value="0" path="fpga_top.sb_4__0_.mem_top_track_10.mem_out[1]"> + </bit> + <bit id="1396" value="0" path="fpga_top.sb_4__0_.mem_top_track_10.mem_out[0]"> + </bit> + <bit id="1395" value="0" path="fpga_top.sb_4__0_.mem_top_track_8.mem_out[1]"> + </bit> + <bit id="1394" value="0" path="fpga_top.sb_4__0_.mem_top_track_8.mem_out[0]"> + </bit> + <bit id="1393" value="0" path="fpga_top.sb_4__0_.mem_top_track_6.mem_out[1]"> + </bit> + <bit id="1392" value="0" path="fpga_top.sb_4__0_.mem_top_track_6.mem_out[0]"> + </bit> + <bit id="1391" value="0" path="fpga_top.sb_4__0_.mem_top_track_4.mem_out[1]"> + </bit> + <bit id="1390" value="0" path="fpga_top.sb_4__0_.mem_top_track_4.mem_out[0]"> + </bit> + <bit id="1389" value="0" path="fpga_top.sb_4__0_.mem_top_track_2.mem_out[1]"> + </bit> + <bit id="1388" value="0" path="fpga_top.sb_4__0_.mem_top_track_2.mem_out[0]"> + </bit> + <bit id="1387" value="1" path="fpga_top.sb_4__0_.mem_top_track_0.mem_out[1]"> + </bit> + <bit id="1386" value="1" path="fpga_top.sb_4__0_.mem_top_track_0.mem_out[0]"> + </bit> + <bit id="1385" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[3]"> + </bit> + <bit id="1384" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[2]"> + </bit> + <bit id="1383" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[1]"> + </bit> + <bit id="1382" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[0]"> + </bit> + <bit id="1381" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[3]"> + </bit> + <bit id="1380" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[2]"> + </bit> + <bit id="1379" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[1]"> + </bit> + <bit id="1378" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[0]"> + </bit> + <bit id="1377" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[3]"> + </bit> + <bit id="1376" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[2]"> + </bit> + <bit id="1375" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[1]"> + </bit> + <bit id="1374" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[0]"> + </bit> + <bit id="1373" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[3]"> + </bit> + <bit id="1372" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[2]"> + </bit> + <bit id="1371" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[1]"> + </bit> + <bit id="1370" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[0]"> + </bit> + <bit id="1369" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[3]"> + </bit> + <bit id="1368" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[2]"> + </bit> + <bit id="1367" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[1]"> + </bit> + <bit id="1366" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[0]"> + </bit> + <bit id="1365" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[3]"> + </bit> + <bit id="1364" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[2]"> + </bit> + <bit id="1363" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[1]"> + </bit> + <bit id="1362" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[0]"> + </bit> + <bit id="1361" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[3]"> + </bit> + <bit id="1360" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[2]"> + </bit> + <bit id="1359" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[1]"> + </bit> + <bit id="1358" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[0]"> + </bit> + <bit id="1357" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[3]"> + </bit> + <bit id="1356" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[2]"> + </bit> + <bit id="1355" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[1]"> + </bit> + <bit id="1354" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[0]"> + </bit> + <bit id="1353" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[3]"> + </bit> + <bit id="1352" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[2]"> + </bit> + <bit id="1351" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[1]"> + </bit> + <bit id="1350" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[0]"> + </bit> + <bit id="1349" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[3]"> + </bit> + <bit id="1348" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[2]"> + </bit> + <bit id="1347" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[1]"> + </bit> + <bit id="1346" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[0]"> + </bit> + <bit id="1345" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[3]"> + </bit> + <bit id="1344" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[2]"> + </bit> + <bit id="1343" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[1]"> + </bit> + <bit id="1342" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[0]"> + </bit> + <bit id="1341" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[3]"> + </bit> + <bit id="1340" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[2]"> + </bit> + <bit id="1339" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[1]"> + </bit> + <bit id="1338" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[0]"> + </bit> + <bit id="1337" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[3]"> + </bit> + <bit id="1336" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[2]"> + </bit> + <bit id="1335" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[1]"> + </bit> + <bit id="1334" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[0]"> + </bit> + <bit id="1333" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[3]"> + </bit> + <bit id="1332" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[2]"> + </bit> + <bit id="1331" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[1]"> + </bit> + <bit id="1330" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[0]"> + </bit> + <bit id="1329" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[3]"> + </bit> + <bit id="1328" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[2]"> + </bit> + <bit id="1327" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[1]"> + </bit> + <bit id="1326" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[0]"> + </bit> + <bit id="1325" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[3]"> + </bit> + <bit id="1324" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[2]"> + </bit> + <bit id="1323" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[1]"> + </bit> + <bit id="1322" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[0]"> + </bit> + <bit id="1321" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="1320" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="1319" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="1318" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="1317" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="1316" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="1315" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="1314" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="1313" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="1312" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="1311" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="1310" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="1309" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="1308" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="1307" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="1306" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="1305" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="1304" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="1303" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="1302" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="1301" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="1300" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="1299" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="1298" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="1297" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="1296" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="1295" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="1294" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="1293" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="1292" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="1291" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="1290" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="1289" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="1288" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="1287" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="1286" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="1285" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="1284" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="1283" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="1282" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="1281" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="1280" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="1279" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="1278" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="1277" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="1276" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="1275" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="1274" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="1273" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="1272" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="1271" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="1270" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="1269" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="1268" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="1267" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="1266" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="1265" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="1264" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="1263" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="1262" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="1261" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="1260" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="1259" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="1258" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="1257" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="1256" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="1255" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="1254" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="1253" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="1252" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="1251" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="1250" value="0" path="fpga_top.grid_clb_3__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="1249" value="0" path="fpga_top.cby_3__1_.mem_right_ipin_2.mem_out[1]"> + </bit> + <bit id="1248" value="0" path="fpga_top.cby_3__1_.mem_right_ipin_2.mem_out[0]"> + </bit> + <bit id="1247" value="0" path="fpga_top.cby_3__1_.mem_right_ipin_1.mem_out[1]"> + </bit> + <bit id="1246" value="0" path="fpga_top.cby_3__1_.mem_right_ipin_1.mem_out[0]"> + </bit> + <bit id="1245" value="0" path="fpga_top.cby_3__1_.mem_right_ipin_0.mem_out[2]"> + </bit> + <bit id="1244" value="0" path="fpga_top.cby_3__1_.mem_right_ipin_0.mem_out[1]"> + </bit> + <bit id="1243" value="0" path="fpga_top.cby_3__1_.mem_right_ipin_0.mem_out[0]"> + </bit> + <bit id="1242" value="1" path="fpga_top.cby_3__1_.mem_left_ipin_1.mem_out[1]"> + </bit> + <bit id="1241" value="1" path="fpga_top.cby_3__1_.mem_left_ipin_1.mem_out[0]"> + </bit> + <bit id="1240" value="1" path="fpga_top.cby_3__1_.mem_left_ipin_0.mem_out[2]"> + </bit> + <bit id="1239" value="0" path="fpga_top.cby_3__1_.mem_left_ipin_0.mem_out[1]"> + </bit> + <bit id="1238" value="0" path="fpga_top.cby_3__1_.mem_left_ipin_0.mem_out[0]"> + </bit> + <bit id="1237" value="0" path="fpga_top.cbx_3__0_.mem_top_ipin_7.mem_out[2]"> + </bit> + <bit id="1236" value="0" path="fpga_top.cbx_3__0_.mem_top_ipin_7.mem_out[1]"> + </bit> + <bit id="1235" value="0" path="fpga_top.cbx_3__0_.mem_top_ipin_7.mem_out[0]"> + </bit> + <bit id="1234" value="0" path="fpga_top.cbx_3__0_.mem_top_ipin_6.mem_out[2]"> + </bit> + <bit id="1233" value="0" path="fpga_top.cbx_3__0_.mem_top_ipin_6.mem_out[1]"> + </bit> + <bit id="1232" value="0" path="fpga_top.cbx_3__0_.mem_top_ipin_6.mem_out[0]"> + </bit> + <bit id="1231" value="0" path="fpga_top.cbx_3__0_.mem_top_ipin_5.mem_out[2]"> + </bit> + <bit id="1230" value="0" path="fpga_top.cbx_3__0_.mem_top_ipin_5.mem_out[1]"> + </bit> + <bit id="1229" value="0" path="fpga_top.cbx_3__0_.mem_top_ipin_5.mem_out[0]"> + </bit> + <bit id="1228" value="0" path="fpga_top.cbx_3__0_.mem_top_ipin_4.mem_out[2]"> + </bit> + <bit id="1227" value="0" path="fpga_top.cbx_3__0_.mem_top_ipin_4.mem_out[1]"> + </bit> + <bit id="1226" value="0" path="fpga_top.cbx_3__0_.mem_top_ipin_4.mem_out[0]"> + </bit> + <bit id="1225" value="0" path="fpga_top.cbx_3__0_.mem_top_ipin_3.mem_out[2]"> + </bit> + <bit id="1224" value="0" path="fpga_top.cbx_3__0_.mem_top_ipin_3.mem_out[1]"> + </bit> + <bit id="1223" value="0" path="fpga_top.cbx_3__0_.mem_top_ipin_3.mem_out[0]"> + </bit> + <bit id="1222" value="0" path="fpga_top.cbx_3__0_.mem_top_ipin_2.mem_out[2]"> + </bit> + <bit id="1221" value="0" path="fpga_top.cbx_3__0_.mem_top_ipin_2.mem_out[1]"> + </bit> + <bit id="1220" value="0" path="fpga_top.cbx_3__0_.mem_top_ipin_2.mem_out[0]"> + </bit> + <bit id="1219" value="0" path="fpga_top.cbx_3__0_.mem_top_ipin_1.mem_out[2]"> + </bit> + <bit id="1218" value="0" path="fpga_top.cbx_3__0_.mem_top_ipin_1.mem_out[1]"> + </bit> + <bit id="1217" value="0" path="fpga_top.cbx_3__0_.mem_top_ipin_1.mem_out[0]"> + </bit> + <bit id="1216" value="0" path="fpga_top.cbx_3__0_.mem_top_ipin_0.mem_out[2]"> + </bit> + <bit id="1215" value="0" path="fpga_top.cbx_3__0_.mem_top_ipin_0.mem_out[1]"> + </bit> + <bit id="1214" value="0" path="fpga_top.cbx_3__0_.mem_top_ipin_0.mem_out[0]"> + </bit> + <bit id="1213" value="0" path="fpga_top.cbx_3__0_.mem_bottom_ipin_2.mem_out[2]"> + </bit> + <bit id="1212" value="0" path="fpga_top.cbx_3__0_.mem_bottom_ipin_2.mem_out[1]"> + </bit> + <bit id="1211" value="0" path="fpga_top.cbx_3__0_.mem_bottom_ipin_2.mem_out[0]"> + </bit> + <bit id="1210" value="0" path="fpga_top.cbx_3__0_.mem_bottom_ipin_1.mem_out[1]"> + </bit> + <bit id="1209" value="0" path="fpga_top.cbx_3__0_.mem_bottom_ipin_1.mem_out[0]"> + </bit> + <bit id="1208" value="0" path="fpga_top.cbx_3__0_.mem_bottom_ipin_0.mem_out[2]"> + </bit> + <bit id="1207" value="0" path="fpga_top.cbx_3__0_.mem_bottom_ipin_0.mem_out[1]"> + </bit> + <bit id="1206" value="0" path="fpga_top.cbx_3__0_.mem_bottom_ipin_0.mem_out[0]"> + </bit> + <bit id="1205" value="0" path="fpga_top.sb_3__0_.mem_left_track_17.mem_out[3]"> + </bit> + <bit id="1204" value="0" path="fpga_top.sb_3__0_.mem_left_track_17.mem_out[2]"> + </bit> + <bit id="1203" value="0" path="fpga_top.sb_3__0_.mem_left_track_17.mem_out[1]"> + </bit> + <bit id="1202" value="0" path="fpga_top.sb_3__0_.mem_left_track_17.mem_out[0]"> + </bit> + <bit id="1201" value="0" path="fpga_top.sb_3__0_.mem_left_track_9.mem_out[3]"> + </bit> + <bit id="1200" value="0" path="fpga_top.sb_3__0_.mem_left_track_9.mem_out[2]"> + </bit> + <bit id="1199" value="0" path="fpga_top.sb_3__0_.mem_left_track_9.mem_out[1]"> + </bit> + <bit id="1198" value="0" path="fpga_top.sb_3__0_.mem_left_track_9.mem_out[0]"> + </bit> + <bit id="1197" value="0" path="fpga_top.sb_3__0_.mem_left_track_1.mem_out[3]"> + </bit> + <bit id="1196" value="0" path="fpga_top.sb_3__0_.mem_left_track_1.mem_out[2]"> + </bit> + <bit id="1195" value="0" path="fpga_top.sb_3__0_.mem_left_track_1.mem_out[1]"> + </bit> + <bit id="1194" value="0" path="fpga_top.sb_3__0_.mem_left_track_1.mem_out[0]"> + </bit> + <bit id="1193" value="0" path="fpga_top.sb_3__0_.mem_right_track_16.mem_out[3]"> + </bit> + <bit id="1192" value="0" path="fpga_top.sb_3__0_.mem_right_track_16.mem_out[2]"> + </bit> + <bit id="1191" value="0" path="fpga_top.sb_3__0_.mem_right_track_16.mem_out[1]"> + </bit> + <bit id="1190" value="0" path="fpga_top.sb_3__0_.mem_right_track_16.mem_out[0]"> + </bit> + <bit id="1189" value="0" path="fpga_top.sb_3__0_.mem_right_track_8.mem_out[3]"> + </bit> + <bit id="1188" value="0" path="fpga_top.sb_3__0_.mem_right_track_8.mem_out[2]"> + </bit> + <bit id="1187" value="0" path="fpga_top.sb_3__0_.mem_right_track_8.mem_out[1]"> + </bit> + <bit id="1186" value="0" path="fpga_top.sb_3__0_.mem_right_track_8.mem_out[0]"> + </bit> + <bit id="1185" value="0" path="fpga_top.sb_3__0_.mem_right_track_0.mem_out[3]"> + </bit> + <bit id="1184" value="0" path="fpga_top.sb_3__0_.mem_right_track_0.mem_out[2]"> + </bit> + <bit id="1183" value="0" path="fpga_top.sb_3__0_.mem_right_track_0.mem_out[1]"> + </bit> + <bit id="1182" value="0" path="fpga_top.sb_3__0_.mem_right_track_0.mem_out[0]"> + </bit> + <bit id="1181" value="0" path="fpga_top.sb_3__0_.mem_top_track_18.mem_out[2]"> + </bit> + <bit id="1180" value="0" path="fpga_top.sb_3__0_.mem_top_track_18.mem_out[1]"> + </bit> + <bit id="1179" value="0" path="fpga_top.sb_3__0_.mem_top_track_18.mem_out[0]"> + </bit> + <bit id="1178" value="0" path="fpga_top.sb_3__0_.mem_top_track_16.mem_out[1]"> + </bit> + <bit id="1177" value="0" path="fpga_top.sb_3__0_.mem_top_track_16.mem_out[0]"> + </bit> + <bit id="1176" value="1" path="fpga_top.sb_3__0_.mem_top_track_10.mem_out[1]"> + </bit> + <bit id="1175" value="1" path="fpga_top.sb_3__0_.mem_top_track_10.mem_out[0]"> + </bit> + <bit id="1174" value="0" path="fpga_top.sb_3__0_.mem_top_track_8.mem_out[1]"> + </bit> + <bit id="1173" value="0" path="fpga_top.sb_3__0_.mem_top_track_8.mem_out[0]"> + </bit> + <bit id="1172" value="1" path="fpga_top.sb_3__0_.mem_top_track_2.mem_out[1]"> + </bit> + <bit id="1171" value="0" path="fpga_top.sb_3__0_.mem_top_track_2.mem_out[0]"> + </bit> + <bit id="1170" value="0" path="fpga_top.sb_3__0_.mem_top_track_0.mem_out[2]"> + </bit> + <bit id="1169" value="0" path="fpga_top.sb_3__0_.mem_top_track_0.mem_out[1]"> + </bit> + <bit id="1168" value="0" path="fpga_top.sb_3__0_.mem_top_track_0.mem_out[0]"> + </bit> + <bit id="1167" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[3]"> + </bit> + <bit id="1166" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[2]"> + </bit> + <bit id="1165" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[1]"> + </bit> + <bit id="1164" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[0]"> + </bit> + <bit id="1163" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[3]"> + </bit> + <bit id="1162" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[2]"> + </bit> + <bit id="1161" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[1]"> + </bit> + <bit id="1160" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[0]"> + </bit> + <bit id="1159" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[3]"> + </bit> + <bit id="1158" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[2]"> + </bit> + <bit id="1157" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[1]"> + </bit> + <bit id="1156" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[0]"> + </bit> + <bit id="1155" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[3]"> + </bit> + <bit id="1154" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[2]"> + </bit> + <bit id="1153" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[1]"> + </bit> + <bit id="1152" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[0]"> + </bit> + <bit id="1151" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[3]"> + </bit> + <bit id="1150" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[2]"> + </bit> + <bit id="1149" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[1]"> + </bit> + <bit id="1148" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[0]"> + </bit> + <bit id="1147" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[3]"> + </bit> + <bit id="1146" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[2]"> + </bit> + <bit id="1145" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[1]"> + </bit> + <bit id="1144" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[0]"> + </bit> + <bit id="1143" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[3]"> + </bit> + <bit id="1142" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[2]"> + </bit> + <bit id="1141" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[1]"> + </bit> + <bit id="1140" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[0]"> + </bit> + <bit id="1139" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[3]"> + </bit> + <bit id="1138" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[2]"> + </bit> + <bit id="1137" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[1]"> + </bit> + <bit id="1136" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[0]"> + </bit> + <bit id="1135" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[3]"> + </bit> + <bit id="1134" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[2]"> + </bit> + <bit id="1133" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[1]"> + </bit> + <bit id="1132" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[0]"> + </bit> + <bit id="1131" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[3]"> + </bit> + <bit id="1130" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[2]"> + </bit> + <bit id="1129" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[1]"> + </bit> + <bit id="1128" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[0]"> + </bit> + <bit id="1127" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[3]"> + </bit> + <bit id="1126" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[2]"> + </bit> + <bit id="1125" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[1]"> + </bit> + <bit id="1124" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[0]"> + </bit> + <bit id="1123" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[3]"> + </bit> + <bit id="1122" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[2]"> + </bit> + <bit id="1121" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[1]"> + </bit> + <bit id="1120" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[0]"> + </bit> + <bit id="1119" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[3]"> + </bit> + <bit id="1118" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[2]"> + </bit> + <bit id="1117" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[1]"> + </bit> + <bit id="1116" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[0]"> + </bit> + <bit id="1115" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[3]"> + </bit> + <bit id="1114" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[2]"> + </bit> + <bit id="1113" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[1]"> + </bit> + <bit id="1112" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[0]"> + </bit> + <bit id="1111" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[3]"> + </bit> + <bit id="1110" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[2]"> + </bit> + <bit id="1109" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[1]"> + </bit> + <bit id="1108" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[0]"> + </bit> + <bit id="1107" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[3]"> + </bit> + <bit id="1106" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[2]"> + </bit> + <bit id="1105" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[1]"> + </bit> + <bit id="1104" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[0]"> + </bit> + <bit id="1103" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="1102" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="1101" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="1100" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="1099" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="1098" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="1097" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="1096" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="1095" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="1094" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="1093" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="1092" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="1091" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="1090" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="1089" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="1088" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="1087" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="1086" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="1085" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="1084" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="1083" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="1082" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="1081" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="1080" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="1079" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="1078" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="1077" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="1076" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="1075" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="1074" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="1073" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="1072" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="1071" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="1070" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="1069" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="1068" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="1067" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="1066" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="1065" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="1064" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="1063" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="1062" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="1061" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="1060" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="1059" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="1058" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="1057" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="1056" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="1055" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="1054" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="1053" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="1052" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="1051" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="1050" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="1049" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="1048" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="1047" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="1046" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="1045" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="1044" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="1043" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="1042" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="1041" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="1040" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="1039" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="1038" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="1037" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="1036" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="1035" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="1034" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="1033" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="1032" value="0" path="fpga_top.grid_clb_2__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="1031" value="0" path="fpga_top.cby_2__1_.mem_right_ipin_2.mem_out[1]"> + </bit> + <bit id="1030" value="0" path="fpga_top.cby_2__1_.mem_right_ipin_2.mem_out[0]"> + </bit> + <bit id="1029" value="0" path="fpga_top.cby_2__1_.mem_right_ipin_1.mem_out[1]"> + </bit> + <bit id="1028" value="0" path="fpga_top.cby_2__1_.mem_right_ipin_1.mem_out[0]"> + </bit> + <bit id="1027" value="0" path="fpga_top.cby_2__1_.mem_right_ipin_0.mem_out[2]"> + </bit> + <bit id="1026" value="0" path="fpga_top.cby_2__1_.mem_right_ipin_0.mem_out[1]"> + </bit> + <bit id="1025" value="0" path="fpga_top.cby_2__1_.mem_right_ipin_0.mem_out[0]"> + </bit> + <bit id="1024" value="0" path="fpga_top.cby_2__1_.mem_left_ipin_1.mem_out[1]"> + </bit> + <bit id="1023" value="0" path="fpga_top.cby_2__1_.mem_left_ipin_1.mem_out[0]"> + </bit> + <bit id="1022" value="0" path="fpga_top.cby_2__1_.mem_left_ipin_0.mem_out[2]"> + </bit> + <bit id="1021" value="0" path="fpga_top.cby_2__1_.mem_left_ipin_0.mem_out[1]"> + </bit> + <bit id="1020" value="0" path="fpga_top.cby_2__1_.mem_left_ipin_0.mem_out[0]"> + </bit> + <bit id="1019" value="0" path="fpga_top.cbx_2__0_.mem_top_ipin_7.mem_out[2]"> + </bit> + <bit id="1018" value="0" path="fpga_top.cbx_2__0_.mem_top_ipin_7.mem_out[1]"> + </bit> + <bit id="1017" value="0" path="fpga_top.cbx_2__0_.mem_top_ipin_7.mem_out[0]"> + </bit> + <bit id="1016" value="0" path="fpga_top.cbx_2__0_.mem_top_ipin_6.mem_out[2]"> + </bit> + <bit id="1015" value="0" path="fpga_top.cbx_2__0_.mem_top_ipin_6.mem_out[1]"> + </bit> + <bit id="1014" value="0" path="fpga_top.cbx_2__0_.mem_top_ipin_6.mem_out[0]"> + </bit> + <bit id="1013" value="0" path="fpga_top.cbx_2__0_.mem_top_ipin_5.mem_out[2]"> + </bit> + <bit id="1012" value="0" path="fpga_top.cbx_2__0_.mem_top_ipin_5.mem_out[1]"> + </bit> + <bit id="1011" value="0" path="fpga_top.cbx_2__0_.mem_top_ipin_5.mem_out[0]"> + </bit> + <bit id="1010" value="0" path="fpga_top.cbx_2__0_.mem_top_ipin_4.mem_out[2]"> + </bit> + <bit id="1009" value="0" path="fpga_top.cbx_2__0_.mem_top_ipin_4.mem_out[1]"> + </bit> + <bit id="1008" value="0" path="fpga_top.cbx_2__0_.mem_top_ipin_4.mem_out[0]"> + </bit> + <bit id="1007" value="0" path="fpga_top.cbx_2__0_.mem_top_ipin_3.mem_out[2]"> + </bit> + <bit id="1006" value="0" path="fpga_top.cbx_2__0_.mem_top_ipin_3.mem_out[1]"> + </bit> + <bit id="1005" value="0" path="fpga_top.cbx_2__0_.mem_top_ipin_3.mem_out[0]"> + </bit> + <bit id="1004" value="0" path="fpga_top.cbx_2__0_.mem_top_ipin_2.mem_out[2]"> + </bit> + <bit id="1003" value="0" path="fpga_top.cbx_2__0_.mem_top_ipin_2.mem_out[1]"> + </bit> + <bit id="1002" value="0" path="fpga_top.cbx_2__0_.mem_top_ipin_2.mem_out[0]"> + </bit> + <bit id="1001" value="0" path="fpga_top.cbx_2__0_.mem_top_ipin_1.mem_out[2]"> + </bit> + <bit id="1000" value="0" path="fpga_top.cbx_2__0_.mem_top_ipin_1.mem_out[1]"> + </bit> + <bit id="999" value="0" path="fpga_top.cbx_2__0_.mem_top_ipin_1.mem_out[0]"> + </bit> + <bit id="998" value="0" path="fpga_top.cbx_2__0_.mem_top_ipin_0.mem_out[2]"> + </bit> + <bit id="997" value="0" path="fpga_top.cbx_2__0_.mem_top_ipin_0.mem_out[1]"> + </bit> + <bit id="996" value="0" path="fpga_top.cbx_2__0_.mem_top_ipin_0.mem_out[0]"> + </bit> + <bit id="995" value="0" path="fpga_top.cbx_2__0_.mem_bottom_ipin_2.mem_out[2]"> + </bit> + <bit id="994" value="0" path="fpga_top.cbx_2__0_.mem_bottom_ipin_2.mem_out[1]"> + </bit> + <bit id="993" value="0" path="fpga_top.cbx_2__0_.mem_bottom_ipin_2.mem_out[0]"> + </bit> + <bit id="992" value="0" path="fpga_top.cbx_2__0_.mem_bottom_ipin_1.mem_out[1]"> + </bit> + <bit id="991" value="0" path="fpga_top.cbx_2__0_.mem_bottom_ipin_1.mem_out[0]"> + </bit> + <bit id="990" value="0" path="fpga_top.cbx_2__0_.mem_bottom_ipin_0.mem_out[2]"> + </bit> + <bit id="989" value="0" path="fpga_top.cbx_2__0_.mem_bottom_ipin_0.mem_out[1]"> + </bit> + <bit id="988" value="0" path="fpga_top.cbx_2__0_.mem_bottom_ipin_0.mem_out[0]"> + </bit> + <bit id="987" value="0" path="fpga_top.sb_2__0_.mem_left_track_17.mem_out[3]"> + </bit> + <bit id="986" value="0" path="fpga_top.sb_2__0_.mem_left_track_17.mem_out[2]"> + </bit> + <bit id="985" value="0" path="fpga_top.sb_2__0_.mem_left_track_17.mem_out[1]"> + </bit> + <bit id="984" value="0" path="fpga_top.sb_2__0_.mem_left_track_17.mem_out[0]"> + </bit> + <bit id="983" value="0" path="fpga_top.sb_2__0_.mem_left_track_9.mem_out[3]"> + </bit> + <bit id="982" value="0" path="fpga_top.sb_2__0_.mem_left_track_9.mem_out[2]"> + </bit> + <bit id="981" value="0" path="fpga_top.sb_2__0_.mem_left_track_9.mem_out[1]"> + </bit> + <bit id="980" value="0" path="fpga_top.sb_2__0_.mem_left_track_9.mem_out[0]"> + </bit> + <bit id="979" value="0" path="fpga_top.sb_2__0_.mem_left_track_1.mem_out[3]"> + </bit> + <bit id="978" value="0" path="fpga_top.sb_2__0_.mem_left_track_1.mem_out[2]"> + </bit> + <bit id="977" value="0" path="fpga_top.sb_2__0_.mem_left_track_1.mem_out[1]"> + </bit> + <bit id="976" value="0" path="fpga_top.sb_2__0_.mem_left_track_1.mem_out[0]"> + </bit> + <bit id="975" value="0" path="fpga_top.sb_2__0_.mem_right_track_16.mem_out[3]"> + </bit> + <bit id="974" value="0" path="fpga_top.sb_2__0_.mem_right_track_16.mem_out[2]"> + </bit> + <bit id="973" value="0" path="fpga_top.sb_2__0_.mem_right_track_16.mem_out[1]"> + </bit> + <bit id="972" value="0" path="fpga_top.sb_2__0_.mem_right_track_16.mem_out[0]"> + </bit> + <bit id="971" value="0" path="fpga_top.sb_2__0_.mem_right_track_8.mem_out[3]"> + </bit> + <bit id="970" value="0" path="fpga_top.sb_2__0_.mem_right_track_8.mem_out[2]"> + </bit> + <bit id="969" value="0" path="fpga_top.sb_2__0_.mem_right_track_8.mem_out[1]"> + </bit> + <bit id="968" value="0" path="fpga_top.sb_2__0_.mem_right_track_8.mem_out[0]"> + </bit> + <bit id="967" value="0" path="fpga_top.sb_2__0_.mem_right_track_0.mem_out[3]"> + </bit> + <bit id="966" value="0" path="fpga_top.sb_2__0_.mem_right_track_0.mem_out[2]"> + </bit> + <bit id="965" value="0" path="fpga_top.sb_2__0_.mem_right_track_0.mem_out[1]"> + </bit> + <bit id="964" value="0" path="fpga_top.sb_2__0_.mem_right_track_0.mem_out[0]"> + </bit> + <bit id="963" value="0" path="fpga_top.sb_2__0_.mem_top_track_18.mem_out[2]"> + </bit> + <bit id="962" value="0" path="fpga_top.sb_2__0_.mem_top_track_18.mem_out[1]"> + </bit> + <bit id="961" value="0" path="fpga_top.sb_2__0_.mem_top_track_18.mem_out[0]"> + </bit> + <bit id="960" value="0" path="fpga_top.sb_2__0_.mem_top_track_16.mem_out[1]"> + </bit> + <bit id="959" value="0" path="fpga_top.sb_2__0_.mem_top_track_16.mem_out[0]"> + </bit> + <bit id="958" value="0" path="fpga_top.sb_2__0_.mem_top_track_10.mem_out[1]"> + </bit> + <bit id="957" value="0" path="fpga_top.sb_2__0_.mem_top_track_10.mem_out[0]"> + </bit> + <bit id="956" value="0" path="fpga_top.sb_2__0_.mem_top_track_8.mem_out[1]"> + </bit> + <bit id="955" value="0" path="fpga_top.sb_2__0_.mem_top_track_8.mem_out[0]"> + </bit> + <bit id="954" value="0" path="fpga_top.sb_2__0_.mem_top_track_2.mem_out[1]"> + </bit> + <bit id="953" value="0" path="fpga_top.sb_2__0_.mem_top_track_2.mem_out[0]"> + </bit> + <bit id="952" value="0" path="fpga_top.sb_2__0_.mem_top_track_0.mem_out[2]"> + </bit> + <bit id="951" value="0" path="fpga_top.sb_2__0_.mem_top_track_0.mem_out[1]"> + </bit> + <bit id="950" value="0" path="fpga_top.sb_2__0_.mem_top_track_0.mem_out[0]"> + </bit> + <bit id="949" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[3]"> + </bit> + <bit id="948" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[2]"> + </bit> + <bit id="947" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[1]"> + </bit> + <bit id="946" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_3.mem_out[0]"> + </bit> + <bit id="945" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[3]"> + </bit> + <bit id="944" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[2]"> + </bit> + <bit id="943" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[1]"> + </bit> + <bit id="942" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_2.mem_out[0]"> + </bit> + <bit id="941" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[3]"> + </bit> + <bit id="940" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[2]"> + </bit> + <bit id="939" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[1]"> + </bit> + <bit id="938" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_1.mem_out[0]"> + </bit> + <bit id="937" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[3]"> + </bit> + <bit id="936" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[2]"> + </bit> + <bit id="935" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[1]"> + </bit> + <bit id="934" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_3_in_0.mem_out[0]"> + </bit> + <bit id="933" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[3]"> + </bit> + <bit id="932" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[2]"> + </bit> + <bit id="931" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[1]"> + </bit> + <bit id="930" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_3.mem_out[0]"> + </bit> + <bit id="929" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[3]"> + </bit> + <bit id="928" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[2]"> + </bit> + <bit id="927" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[1]"> + </bit> + <bit id="926" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_2.mem_out[0]"> + </bit> + <bit id="925" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[3]"> + </bit> + <bit id="924" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[2]"> + </bit> + <bit id="923" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[1]"> + </bit> + <bit id="922" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_1.mem_out[0]"> + </bit> + <bit id="921" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[3]"> + </bit> + <bit id="920" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[2]"> + </bit> + <bit id="919" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[1]"> + </bit> + <bit id="918" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_2_in_0.mem_out[0]"> + </bit> + <bit id="917" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[3]"> + </bit> + <bit id="916" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[2]"> + </bit> + <bit id="915" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[1]"> + </bit> + <bit id="914" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_3.mem_out[0]"> + </bit> + <bit id="913" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[3]"> + </bit> + <bit id="912" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[2]"> + </bit> + <bit id="911" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[1]"> + </bit> + <bit id="910" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_2.mem_out[0]"> + </bit> + <bit id="909" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[3]"> + </bit> + <bit id="908" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[2]"> + </bit> + <bit id="907" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[1]"> + </bit> + <bit id="906" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_1.mem_out[0]"> + </bit> + <bit id="905" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[3]"> + </bit> + <bit id="904" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[2]"> + </bit> + <bit id="903" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[1]"> + </bit> + <bit id="902" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_1_in_0.mem_out[0]"> + </bit> + <bit id="901" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[3]"> + </bit> + <bit id="900" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[2]"> + </bit> + <bit id="899" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[1]"> + </bit> + <bit id="898" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_3.mem_out[0]"> + </bit> + <bit id="897" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[3]"> + </bit> + <bit id="896" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[2]"> + </bit> + <bit id="895" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[1]"> + </bit> + <bit id="894" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_2.mem_out[0]"> + </bit> + <bit id="893" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[3]"> + </bit> + <bit id="892" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[2]"> + </bit> + <bit id="891" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[1]"> + </bit> + <bit id="890" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_1.mem_out[0]"> + </bit> + <bit id="889" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[3]"> + </bit> + <bit id="888" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[2]"> + </bit> + <bit id="887" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[1]"> + </bit> + <bit id="886" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.mem_fle_0_in_0.mem_out[0]"> + </bit> + <bit id="885" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="884" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="883" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="882" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="881" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="880" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="879" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="878" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="877" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="876" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="875" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="874" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="873" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="872" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="871" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="870" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="869" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="868" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_3.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="867" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="866" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="865" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="864" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="863" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="862" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="861" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="860" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="859" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="858" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="857" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="856" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="855" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="854" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="853" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="852" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="851" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="850" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_2.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="849" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="848" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="847" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="846" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="845" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="844" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="843" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="842" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="841" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="840" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="839" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="838" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="837" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="836" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="835" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="834" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="833" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="832" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_1.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="831" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[1]"> + </bit> + <bit id="830" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.mem_ble4_out_0.mem_out[0]"> + </bit> + <bit id="829" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[15]"> + </bit> + <bit id="828" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[14]"> + </bit> + <bit id="827" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[13]"> + </bit> + <bit id="826" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[12]"> + </bit> + <bit id="825" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[11]"> + </bit> + <bit id="824" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[10]"> + </bit> + <bit id="823" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[9]"> + </bit> + <bit id="822" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[8]"> + </bit> + <bit id="821" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[7]"> + </bit> + <bit id="820" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[6]"> + </bit> + <bit id="819" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[5]"> + </bit> + <bit id="818" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[4]"> + </bit> + <bit id="817" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[3]"> + </bit> + <bit id="816" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[2]"> + </bit> + <bit id="815" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[1]"> + </bit> + <bit id="814" value="0" path="fpga_top.grid_clb_1__1_.logical_tile_clb_mode_clb__0.logical_tile_clb_mode_default__fle_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0.logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0.lut4_DFF_mem.mem_out[0]"> + </bit> + <bit id="813" value="0" path="fpga_top.cby_1__1_.mem_right_ipin_2.mem_out[1]"> + </bit> + <bit id="812" value="0" path="fpga_top.cby_1__1_.mem_right_ipin_2.mem_out[0]"> + </bit> + <bit id="811" value="0" path="fpga_top.cby_1__1_.mem_right_ipin_1.mem_out[1]"> + </bit> + <bit id="810" value="0" path="fpga_top.cby_1__1_.mem_right_ipin_1.mem_out[0]"> + </bit> + <bit id="809" value="0" path="fpga_top.cby_1__1_.mem_right_ipin_0.mem_out[2]"> + </bit> + <bit id="808" value="0" path="fpga_top.cby_1__1_.mem_right_ipin_0.mem_out[1]"> + </bit> + <bit id="807" value="0" path="fpga_top.cby_1__1_.mem_right_ipin_0.mem_out[0]"> + </bit> + <bit id="806" value="0" path="fpga_top.cby_1__1_.mem_left_ipin_1.mem_out[1]"> + </bit> + <bit id="805" value="0" path="fpga_top.cby_1__1_.mem_left_ipin_1.mem_out[0]"> + </bit> + <bit id="804" value="0" path="fpga_top.cby_1__1_.mem_left_ipin_0.mem_out[2]"> + </bit> + <bit id="803" value="0" path="fpga_top.cby_1__1_.mem_left_ipin_0.mem_out[1]"> + </bit> + <bit id="802" value="0" path="fpga_top.cby_1__1_.mem_left_ipin_0.mem_out[0]"> + </bit> + <bit id="801" value="0" path="fpga_top.cbx_1__0_.mem_top_ipin_7.mem_out[2]"> + </bit> + <bit id="800" value="0" path="fpga_top.cbx_1__0_.mem_top_ipin_7.mem_out[1]"> + </bit> + <bit id="799" value="0" path="fpga_top.cbx_1__0_.mem_top_ipin_7.mem_out[0]"> + </bit> + <bit id="798" value="0" path="fpga_top.cbx_1__0_.mem_top_ipin_6.mem_out[2]"> + </bit> + <bit id="797" value="0" path="fpga_top.cbx_1__0_.mem_top_ipin_6.mem_out[1]"> + </bit> + <bit id="796" value="0" path="fpga_top.cbx_1__0_.mem_top_ipin_6.mem_out[0]"> + </bit> + <bit id="795" value="0" path="fpga_top.cbx_1__0_.mem_top_ipin_5.mem_out[2]"> + </bit> + <bit id="794" value="0" path="fpga_top.cbx_1__0_.mem_top_ipin_5.mem_out[1]"> + </bit> + <bit id="793" value="0" path="fpga_top.cbx_1__0_.mem_top_ipin_5.mem_out[0]"> + </bit> + <bit id="792" value="0" path="fpga_top.cbx_1__0_.mem_top_ipin_4.mem_out[2]"> + </bit> + <bit id="791" value="0" path="fpga_top.cbx_1__0_.mem_top_ipin_4.mem_out[1]"> + </bit> + <bit id="790" value="0" path="fpga_top.cbx_1__0_.mem_top_ipin_4.mem_out[0]"> + </bit> + <bit id="789" value="0" path="fpga_top.cbx_1__0_.mem_top_ipin_3.mem_out[2]"> + </bit> + <bit id="788" value="0" path="fpga_top.cbx_1__0_.mem_top_ipin_3.mem_out[1]"> + </bit> + <bit id="787" value="0" path="fpga_top.cbx_1__0_.mem_top_ipin_3.mem_out[0]"> + </bit> + <bit id="786" value="0" path="fpga_top.cbx_1__0_.mem_top_ipin_2.mem_out[2]"> + </bit> + <bit id="785" value="0" path="fpga_top.cbx_1__0_.mem_top_ipin_2.mem_out[1]"> + </bit> + <bit id="784" value="0" path="fpga_top.cbx_1__0_.mem_top_ipin_2.mem_out[0]"> + </bit> + <bit id="783" value="0" path="fpga_top.cbx_1__0_.mem_top_ipin_1.mem_out[2]"> + </bit> + <bit id="782" value="0" path="fpga_top.cbx_1__0_.mem_top_ipin_1.mem_out[1]"> + </bit> + <bit id="781" value="0" path="fpga_top.cbx_1__0_.mem_top_ipin_1.mem_out[0]"> + </bit> + <bit id="780" value="0" path="fpga_top.cbx_1__0_.mem_top_ipin_0.mem_out[2]"> + </bit> + <bit id="779" value="0" path="fpga_top.cbx_1__0_.mem_top_ipin_0.mem_out[1]"> + </bit> + <bit id="778" value="0" path="fpga_top.cbx_1__0_.mem_top_ipin_0.mem_out[0]"> + </bit> + <bit id="777" value="0" path="fpga_top.cbx_1__0_.mem_bottom_ipin_2.mem_out[2]"> + </bit> + <bit id="776" value="0" path="fpga_top.cbx_1__0_.mem_bottom_ipin_2.mem_out[1]"> + </bit> + <bit id="775" value="0" path="fpga_top.cbx_1__0_.mem_bottom_ipin_2.mem_out[0]"> + </bit> + <bit id="774" value="0" path="fpga_top.cbx_1__0_.mem_bottom_ipin_1.mem_out[1]"> + </bit> + <bit id="773" value="0" path="fpga_top.cbx_1__0_.mem_bottom_ipin_1.mem_out[0]"> + </bit> + <bit id="772" value="0" path="fpga_top.cbx_1__0_.mem_bottom_ipin_0.mem_out[2]"> + </bit> + <bit id="771" value="0" path="fpga_top.cbx_1__0_.mem_bottom_ipin_0.mem_out[1]"> + </bit> + <bit id="770" value="0" path="fpga_top.cbx_1__0_.mem_bottom_ipin_0.mem_out[0]"> + </bit> + <bit id="769" value="0" path="fpga_top.sb_1__0_.mem_left_track_17.mem_out[3]"> + </bit> + <bit id="768" value="0" path="fpga_top.sb_1__0_.mem_left_track_17.mem_out[2]"> + </bit> + <bit id="767" value="0" path="fpga_top.sb_1__0_.mem_left_track_17.mem_out[1]"> + </bit> + <bit id="766" value="0" path="fpga_top.sb_1__0_.mem_left_track_17.mem_out[0]"> + </bit> + <bit id="765" value="0" path="fpga_top.sb_1__0_.mem_left_track_9.mem_out[3]"> + </bit> + <bit id="764" value="0" path="fpga_top.sb_1__0_.mem_left_track_9.mem_out[2]"> + </bit> + <bit id="763" value="0" path="fpga_top.sb_1__0_.mem_left_track_9.mem_out[1]"> + </bit> + <bit id="762" value="0" path="fpga_top.sb_1__0_.mem_left_track_9.mem_out[0]"> + </bit> + <bit id="761" value="0" path="fpga_top.sb_1__0_.mem_left_track_1.mem_out[3]"> + </bit> + <bit id="760" value="0" path="fpga_top.sb_1__0_.mem_left_track_1.mem_out[2]"> + </bit> + <bit id="759" value="0" path="fpga_top.sb_1__0_.mem_left_track_1.mem_out[1]"> + </bit> + <bit id="758" value="0" path="fpga_top.sb_1__0_.mem_left_track_1.mem_out[0]"> + </bit> + <bit id="757" value="0" path="fpga_top.sb_1__0_.mem_right_track_16.mem_out[3]"> + </bit> + <bit id="756" value="0" path="fpga_top.sb_1__0_.mem_right_track_16.mem_out[2]"> + </bit> + <bit id="755" value="0" path="fpga_top.sb_1__0_.mem_right_track_16.mem_out[1]"> + </bit> + <bit id="754" value="0" path="fpga_top.sb_1__0_.mem_right_track_16.mem_out[0]"> + </bit> + <bit id="753" value="0" path="fpga_top.sb_1__0_.mem_right_track_8.mem_out[3]"> + </bit> + <bit id="752" value="0" path="fpga_top.sb_1__0_.mem_right_track_8.mem_out[2]"> + </bit> + <bit id="751" value="0" path="fpga_top.sb_1__0_.mem_right_track_8.mem_out[1]"> + </bit> + <bit id="750" value="0" path="fpga_top.sb_1__0_.mem_right_track_8.mem_out[0]"> + </bit> + <bit id="749" value="0" path="fpga_top.sb_1__0_.mem_right_track_0.mem_out[3]"> + </bit> + <bit id="748" value="0" path="fpga_top.sb_1__0_.mem_right_track_0.mem_out[2]"> + </bit> + <bit id="747" value="0" path="fpga_top.sb_1__0_.mem_right_track_0.mem_out[1]"> + </bit> + <bit id="746" value="0" path="fpga_top.sb_1__0_.mem_right_track_0.mem_out[0]"> + </bit> + <bit id="745" value="0" path="fpga_top.sb_1__0_.mem_top_track_18.mem_out[2]"> + </bit> + <bit id="744" value="0" path="fpga_top.sb_1__0_.mem_top_track_18.mem_out[1]"> + </bit> + <bit id="743" value="0" path="fpga_top.sb_1__0_.mem_top_track_18.mem_out[0]"> + </bit> + <bit id="742" value="0" path="fpga_top.sb_1__0_.mem_top_track_16.mem_out[1]"> + </bit> + <bit id="741" value="0" path="fpga_top.sb_1__0_.mem_top_track_16.mem_out[0]"> + </bit> + <bit id="740" value="0" path="fpga_top.sb_1__0_.mem_top_track_10.mem_out[1]"> + </bit> + <bit id="739" value="0" path="fpga_top.sb_1__0_.mem_top_track_10.mem_out[0]"> + </bit> + <bit id="738" value="0" path="fpga_top.sb_1__0_.mem_top_track_8.mem_out[1]"> + </bit> + <bit id="737" value="0" path="fpga_top.sb_1__0_.mem_top_track_8.mem_out[0]"> + </bit> + <bit id="736" value="0" path="fpga_top.sb_1__0_.mem_top_track_2.mem_out[1]"> + </bit> + <bit id="735" value="0" path="fpga_top.sb_1__0_.mem_top_track_2.mem_out[0]"> + </bit> + <bit id="734" value="0" path="fpga_top.sb_1__0_.mem_top_track_0.mem_out[2]"> + </bit> + <bit id="733" value="0" path="fpga_top.sb_1__0_.mem_top_track_0.mem_out[1]"> + </bit> + <bit id="732" value="0" path="fpga_top.sb_1__0_.mem_top_track_0.mem_out[0]"> + </bit> + <bit id="731" value="1" path="fpga_top.grid_io_left_0__1_.logical_tile_io_mode_io__7.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="730" value="1" path="fpga_top.grid_io_left_0__1_.logical_tile_io_mode_io__6.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="729" value="1" path="fpga_top.grid_io_left_0__1_.logical_tile_io_mode_io__5.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="728" value="1" path="fpga_top.grid_io_left_0__1_.logical_tile_io_mode_io__4.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="727" value="1" path="fpga_top.grid_io_left_0__1_.logical_tile_io_mode_io__3.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="726" value="1" path="fpga_top.grid_io_left_0__1_.logical_tile_io_mode_io__2.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="725" value="1" path="fpga_top.grid_io_left_0__1_.logical_tile_io_mode_io__1.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="724" value="1" path="fpga_top.grid_io_left_0__1_.logical_tile_io_mode_io__0.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="723" value="0" path="fpga_top.cby_0__1_.mem_right_ipin_7.mem_out[2]"> + </bit> + <bit id="722" value="0" path="fpga_top.cby_0__1_.mem_right_ipin_7.mem_out[1]"> + </bit> + <bit id="721" value="0" path="fpga_top.cby_0__1_.mem_right_ipin_7.mem_out[0]"> + </bit> + <bit id="720" value="0" path="fpga_top.cby_0__1_.mem_right_ipin_6.mem_out[2]"> + </bit> + <bit id="719" value="0" path="fpga_top.cby_0__1_.mem_right_ipin_6.mem_out[1]"> + </bit> + <bit id="718" value="0" path="fpga_top.cby_0__1_.mem_right_ipin_6.mem_out[0]"> + </bit> + <bit id="717" value="0" path="fpga_top.cby_0__1_.mem_right_ipin_5.mem_out[2]"> + </bit> + <bit id="716" value="0" path="fpga_top.cby_0__1_.mem_right_ipin_5.mem_out[1]"> + </bit> + <bit id="715" value="0" path="fpga_top.cby_0__1_.mem_right_ipin_5.mem_out[0]"> + </bit> + <bit id="714" value="0" path="fpga_top.cby_0__1_.mem_right_ipin_4.mem_out[2]"> + </bit> + <bit id="713" value="0" path="fpga_top.cby_0__1_.mem_right_ipin_4.mem_out[1]"> + </bit> + <bit id="712" value="0" path="fpga_top.cby_0__1_.mem_right_ipin_4.mem_out[0]"> + </bit> + <bit id="711" value="0" path="fpga_top.cby_0__1_.mem_right_ipin_3.mem_out[2]"> + </bit> + <bit id="710" value="0" path="fpga_top.cby_0__1_.mem_right_ipin_3.mem_out[1]"> + </bit> + <bit id="709" value="0" path="fpga_top.cby_0__1_.mem_right_ipin_3.mem_out[0]"> + </bit> + <bit id="708" value="0" path="fpga_top.cby_0__1_.mem_right_ipin_2.mem_out[2]"> + </bit> + <bit id="707" value="0" path="fpga_top.cby_0__1_.mem_right_ipin_2.mem_out[1]"> + </bit> + <bit id="706" value="0" path="fpga_top.cby_0__1_.mem_right_ipin_2.mem_out[0]"> + </bit> + <bit id="705" value="0" path="fpga_top.cby_0__1_.mem_right_ipin_1.mem_out[2]"> + </bit> + <bit id="704" value="0" path="fpga_top.cby_0__1_.mem_right_ipin_1.mem_out[1]"> + </bit> + <bit id="703" value="0" path="fpga_top.cby_0__1_.mem_right_ipin_1.mem_out[0]"> + </bit> + <bit id="702" value="0" path="fpga_top.cby_0__1_.mem_right_ipin_0.mem_out[2]"> + </bit> + <bit id="701" value="0" path="fpga_top.cby_0__1_.mem_right_ipin_0.mem_out[1]"> + </bit> + <bit id="700" value="0" path="fpga_top.cby_0__1_.mem_right_ipin_0.mem_out[0]"> + </bit> + <bit id="699" value="0" path="fpga_top.cby_0__1_.mem_left_ipin_1.mem_out[1]"> + </bit> + <bit id="698" value="0" path="fpga_top.cby_0__1_.mem_left_ipin_1.mem_out[0]"> + </bit> + <bit id="697" value="0" path="fpga_top.cby_0__1_.mem_left_ipin_0.mem_out[2]"> + </bit> + <bit id="696" value="0" path="fpga_top.cby_0__1_.mem_left_ipin_0.mem_out[1]"> + </bit> + <bit id="695" value="0" path="fpga_top.cby_0__1_.mem_left_ipin_0.mem_out[0]"> + </bit> + <bit id="694" value="0" path="fpga_top.sb_0__0_.mem_right_track_16.mem_out[1]"> + </bit> + <bit id="693" value="0" path="fpga_top.sb_0__0_.mem_right_track_16.mem_out[0]"> + </bit> + <bit id="692" value="0" path="fpga_top.sb_0__0_.mem_right_track_14.mem_out[1]"> + </bit> + <bit id="691" value="0" path="fpga_top.sb_0__0_.mem_right_track_14.mem_out[0]"> + </bit> + <bit id="690" value="0" path="fpga_top.sb_0__0_.mem_right_track_12.mem_out[1]"> + </bit> + <bit id="689" value="0" path="fpga_top.sb_0__0_.mem_right_track_12.mem_out[0]"> + </bit> + <bit id="688" value="0" path="fpga_top.sb_0__0_.mem_right_track_10.mem_out[1]"> + </bit> + <bit id="687" value="0" path="fpga_top.sb_0__0_.mem_right_track_10.mem_out[0]"> + </bit> + <bit id="686" value="0" path="fpga_top.sb_0__0_.mem_right_track_8.mem_out[1]"> + </bit> + <bit id="685" value="0" path="fpga_top.sb_0__0_.mem_right_track_8.mem_out[0]"> + </bit> + <bit id="684" value="0" path="fpga_top.sb_0__0_.mem_right_track_6.mem_out[1]"> + </bit> + <bit id="683" value="0" path="fpga_top.sb_0__0_.mem_right_track_6.mem_out[0]"> + </bit> + <bit id="682" value="0" path="fpga_top.sb_0__0_.mem_right_track_4.mem_out[1]"> + </bit> + <bit id="681" value="0" path="fpga_top.sb_0__0_.mem_right_track_4.mem_out[0]"> + </bit> + <bit id="680" value="0" path="fpga_top.sb_0__0_.mem_right_track_2.mem_out[1]"> + </bit> + <bit id="679" value="0" path="fpga_top.sb_0__0_.mem_right_track_2.mem_out[0]"> + </bit> + <bit id="678" value="0" path="fpga_top.sb_0__0_.mem_right_track_0.mem_out[1]"> + </bit> + <bit id="677" value="0" path="fpga_top.sb_0__0_.mem_right_track_0.mem_out[0]"> + </bit> + <bit id="676" value="0" path="fpga_top.sb_0__0_.mem_top_track_16.mem_out[1]"> + </bit> + <bit id="675" value="0" path="fpga_top.sb_0__0_.mem_top_track_16.mem_out[0]"> + </bit> + <bit id="674" value="0" path="fpga_top.sb_0__0_.mem_top_track_14.mem_out[1]"> + </bit> + <bit id="673" value="0" path="fpga_top.sb_0__0_.mem_top_track_14.mem_out[0]"> + </bit> + <bit id="672" value="0" path="fpga_top.sb_0__0_.mem_top_track_12.mem_out[1]"> + </bit> + <bit id="671" value="0" path="fpga_top.sb_0__0_.mem_top_track_12.mem_out[0]"> + </bit> + <bit id="670" value="0" path="fpga_top.sb_0__0_.mem_top_track_10.mem_out[1]"> + </bit> + <bit id="669" value="0" path="fpga_top.sb_0__0_.mem_top_track_10.mem_out[0]"> + </bit> + <bit id="668" value="0" path="fpga_top.sb_0__0_.mem_top_track_8.mem_out[1]"> + </bit> + <bit id="667" value="0" path="fpga_top.sb_0__0_.mem_top_track_8.mem_out[0]"> + </bit> + <bit id="666" value="0" path="fpga_top.sb_0__0_.mem_top_track_6.mem_out[1]"> + </bit> + <bit id="665" value="0" path="fpga_top.sb_0__0_.mem_top_track_6.mem_out[0]"> + </bit> + <bit id="664" value="0" path="fpga_top.sb_0__0_.mem_top_track_4.mem_out[1]"> + </bit> + <bit id="663" value="0" path="fpga_top.sb_0__0_.mem_top_track_4.mem_out[0]"> + </bit> + <bit id="662" value="0" path="fpga_top.sb_0__0_.mem_top_track_2.mem_out[1]"> + </bit> + <bit id="661" value="0" path="fpga_top.sb_0__0_.mem_top_track_2.mem_out[0]"> + </bit> + <bit id="660" value="0" path="fpga_top.sb_0__0_.mem_top_track_0.mem_out[1]"> + </bit> + <bit id="659" value="0" path="fpga_top.sb_0__0_.mem_top_track_0.mem_out[0]"> + </bit> + <bit id="658" value="1" path="fpga_top.grid_io_left_0__2_.logical_tile_io_mode_io__7.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="657" value="1" path="fpga_top.grid_io_left_0__2_.logical_tile_io_mode_io__6.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="656" value="1" path="fpga_top.grid_io_left_0__2_.logical_tile_io_mode_io__5.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="655" value="1" path="fpga_top.grid_io_left_0__2_.logical_tile_io_mode_io__4.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="654" value="1" path="fpga_top.grid_io_left_0__2_.logical_tile_io_mode_io__3.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="653" value="1" path="fpga_top.grid_io_left_0__2_.logical_tile_io_mode_io__2.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="652" value="1" path="fpga_top.grid_io_left_0__2_.logical_tile_io_mode_io__1.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="651" value="1" path="fpga_top.grid_io_left_0__2_.logical_tile_io_mode_io__0.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="650" value="0" path="fpga_top.cby_0__2_.mem_right_ipin_7.mem_out[2]"> + </bit> + <bit id="649" value="0" path="fpga_top.cby_0__2_.mem_right_ipin_7.mem_out[1]"> + </bit> + <bit id="648" value="0" path="fpga_top.cby_0__2_.mem_right_ipin_7.mem_out[0]"> + </bit> + <bit id="647" value="0" path="fpga_top.cby_0__2_.mem_right_ipin_6.mem_out[2]"> + </bit> + <bit id="646" value="0" path="fpga_top.cby_0__2_.mem_right_ipin_6.mem_out[1]"> + </bit> + <bit id="645" value="0" path="fpga_top.cby_0__2_.mem_right_ipin_6.mem_out[0]"> + </bit> + <bit id="644" value="0" path="fpga_top.cby_0__2_.mem_right_ipin_5.mem_out[2]"> + </bit> + <bit id="643" value="0" path="fpga_top.cby_0__2_.mem_right_ipin_5.mem_out[1]"> + </bit> + <bit id="642" value="0" path="fpga_top.cby_0__2_.mem_right_ipin_5.mem_out[0]"> + </bit> + <bit id="641" value="0" path="fpga_top.cby_0__2_.mem_right_ipin_4.mem_out[2]"> + </bit> + <bit id="640" value="0" path="fpga_top.cby_0__2_.mem_right_ipin_4.mem_out[1]"> + </bit> + <bit id="639" value="0" path="fpga_top.cby_0__2_.mem_right_ipin_4.mem_out[0]"> + </bit> + <bit id="638" value="0" path="fpga_top.cby_0__2_.mem_right_ipin_3.mem_out[2]"> + </bit> + <bit id="637" value="0" path="fpga_top.cby_0__2_.mem_right_ipin_3.mem_out[1]"> + </bit> + <bit id="636" value="0" path="fpga_top.cby_0__2_.mem_right_ipin_3.mem_out[0]"> + </bit> + <bit id="635" value="0" path="fpga_top.cby_0__2_.mem_right_ipin_2.mem_out[2]"> + </bit> + <bit id="634" value="0" path="fpga_top.cby_0__2_.mem_right_ipin_2.mem_out[1]"> + </bit> + <bit id="633" value="0" path="fpga_top.cby_0__2_.mem_right_ipin_2.mem_out[0]"> + </bit> + <bit id="632" value="0" path="fpga_top.cby_0__2_.mem_right_ipin_1.mem_out[2]"> + </bit> + <bit id="631" value="0" path="fpga_top.cby_0__2_.mem_right_ipin_1.mem_out[1]"> + </bit> + <bit id="630" value="0" path="fpga_top.cby_0__2_.mem_right_ipin_1.mem_out[0]"> + </bit> + <bit id="629" value="0" path="fpga_top.cby_0__2_.mem_right_ipin_0.mem_out[2]"> + </bit> + <bit id="628" value="0" path="fpga_top.cby_0__2_.mem_right_ipin_0.mem_out[1]"> + </bit> + <bit id="627" value="0" path="fpga_top.cby_0__2_.mem_right_ipin_0.mem_out[0]"> + </bit> + <bit id="626" value="0" path="fpga_top.cby_0__2_.mem_left_ipin_1.mem_out[1]"> + </bit> + <bit id="625" value="0" path="fpga_top.cby_0__2_.mem_left_ipin_1.mem_out[0]"> + </bit> + <bit id="624" value="0" path="fpga_top.cby_0__2_.mem_left_ipin_0.mem_out[2]"> + </bit> + <bit id="623" value="0" path="fpga_top.cby_0__2_.mem_left_ipin_0.mem_out[1]"> + </bit> + <bit id="622" value="0" path="fpga_top.cby_0__2_.mem_left_ipin_0.mem_out[0]"> + </bit> + <bit id="621" value="0" path="fpga_top.sb_0__1_.mem_bottom_track_17.mem_out[3]"> + </bit> + <bit id="620" value="0" path="fpga_top.sb_0__1_.mem_bottom_track_17.mem_out[2]"> + </bit> + <bit id="619" value="0" path="fpga_top.sb_0__1_.mem_bottom_track_17.mem_out[1]"> + </bit> + <bit id="618" value="0" path="fpga_top.sb_0__1_.mem_bottom_track_17.mem_out[0]"> + </bit> + <bit id="617" value="0" path="fpga_top.sb_0__1_.mem_bottom_track_9.mem_out[3]"> + </bit> + <bit id="616" value="0" path="fpga_top.sb_0__1_.mem_bottom_track_9.mem_out[2]"> + </bit> + <bit id="615" value="0" path="fpga_top.sb_0__1_.mem_bottom_track_9.mem_out[1]"> + </bit> + <bit id="614" value="0" path="fpga_top.sb_0__1_.mem_bottom_track_9.mem_out[0]"> + </bit> + <bit id="613" value="0" path="fpga_top.sb_0__1_.mem_bottom_track_1.mem_out[3]"> + </bit> + <bit id="612" value="0" path="fpga_top.sb_0__1_.mem_bottom_track_1.mem_out[2]"> + </bit> + <bit id="611" value="0" path="fpga_top.sb_0__1_.mem_bottom_track_1.mem_out[1]"> + </bit> + <bit id="610" value="0" path="fpga_top.sb_0__1_.mem_bottom_track_1.mem_out[0]"> + </bit> + <bit id="609" value="0" path="fpga_top.sb_0__1_.mem_right_track_16.mem_out[1]"> + </bit> + <bit id="608" value="0" path="fpga_top.sb_0__1_.mem_right_track_16.mem_out[0]"> + </bit> + <bit id="607" value="0" path="fpga_top.sb_0__1_.mem_right_track_14.mem_out[1]"> + </bit> + <bit id="606" value="0" path="fpga_top.sb_0__1_.mem_right_track_14.mem_out[0]"> + </bit> + <bit id="605" value="0" path="fpga_top.sb_0__1_.mem_right_track_12.mem_out[1]"> + </bit> + <bit id="604" value="0" path="fpga_top.sb_0__1_.mem_right_track_12.mem_out[0]"> + </bit> + <bit id="603" value="0" path="fpga_top.sb_0__1_.mem_right_track_10.mem_out[1]"> + </bit> + <bit id="602" value="0" path="fpga_top.sb_0__1_.mem_right_track_10.mem_out[0]"> + </bit> + <bit id="601" value="0" path="fpga_top.sb_0__1_.mem_right_track_8.mem_out[1]"> + </bit> + <bit id="600" value="0" path="fpga_top.sb_0__1_.mem_right_track_8.mem_out[0]"> + </bit> + <bit id="599" value="0" path="fpga_top.sb_0__1_.mem_right_track_6.mem_out[1]"> + </bit> + <bit id="598" value="0" path="fpga_top.sb_0__1_.mem_right_track_6.mem_out[0]"> + </bit> + <bit id="597" value="0" path="fpga_top.sb_0__1_.mem_right_track_4.mem_out[1]"> + </bit> + <bit id="596" value="0" path="fpga_top.sb_0__1_.mem_right_track_4.mem_out[0]"> + </bit> + <bit id="595" value="0" path="fpga_top.sb_0__1_.mem_right_track_2.mem_out[1]"> + </bit> + <bit id="594" value="0" path="fpga_top.sb_0__1_.mem_right_track_2.mem_out[0]"> + </bit> + <bit id="593" value="0" path="fpga_top.sb_0__1_.mem_top_track_16.mem_out[3]"> + </bit> + <bit id="592" value="0" path="fpga_top.sb_0__1_.mem_top_track_16.mem_out[2]"> + </bit> + <bit id="591" value="0" path="fpga_top.sb_0__1_.mem_top_track_16.mem_out[1]"> + </bit> + <bit id="590" value="0" path="fpga_top.sb_0__1_.mem_top_track_16.mem_out[0]"> + </bit> + <bit id="589" value="0" path="fpga_top.sb_0__1_.mem_top_track_8.mem_out[3]"> + </bit> + <bit id="588" value="0" path="fpga_top.sb_0__1_.mem_top_track_8.mem_out[2]"> + </bit> + <bit id="587" value="0" path="fpga_top.sb_0__1_.mem_top_track_8.mem_out[1]"> + </bit> + <bit id="586" value="0" path="fpga_top.sb_0__1_.mem_top_track_8.mem_out[0]"> + </bit> + <bit id="585" value="0" path="fpga_top.sb_0__1_.mem_top_track_0.mem_out[3]"> + </bit> + <bit id="584" value="0" path="fpga_top.sb_0__1_.mem_top_track_0.mem_out[2]"> + </bit> + <bit id="583" value="0" path="fpga_top.sb_0__1_.mem_top_track_0.mem_out[1]"> + </bit> + <bit id="582" value="0" path="fpga_top.sb_0__1_.mem_top_track_0.mem_out[0]"> + </bit> + <bit id="581" value="1" path="fpga_top.grid_io_left_0__3_.logical_tile_io_mode_io__7.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="580" value="1" path="fpga_top.grid_io_left_0__3_.logical_tile_io_mode_io__6.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="579" value="1" path="fpga_top.grid_io_left_0__3_.logical_tile_io_mode_io__5.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="578" value="1" path="fpga_top.grid_io_left_0__3_.logical_tile_io_mode_io__4.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="577" value="1" path="fpga_top.grid_io_left_0__3_.logical_tile_io_mode_io__3.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="576" value="1" path="fpga_top.grid_io_left_0__3_.logical_tile_io_mode_io__2.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="575" value="1" path="fpga_top.grid_io_left_0__3_.logical_tile_io_mode_io__1.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="574" value="1" path="fpga_top.grid_io_left_0__3_.logical_tile_io_mode_io__0.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="573" value="0" path="fpga_top.cby_0__3_.mem_right_ipin_7.mem_out[2]"> + </bit> + <bit id="572" value="0" path="fpga_top.cby_0__3_.mem_right_ipin_7.mem_out[1]"> + </bit> + <bit id="571" value="0" path="fpga_top.cby_0__3_.mem_right_ipin_7.mem_out[0]"> + </bit> + <bit id="570" value="0" path="fpga_top.cby_0__3_.mem_right_ipin_6.mem_out[2]"> + </bit> + <bit id="569" value="0" path="fpga_top.cby_0__3_.mem_right_ipin_6.mem_out[1]"> + </bit> + <bit id="568" value="0" path="fpga_top.cby_0__3_.mem_right_ipin_6.mem_out[0]"> + </bit> + <bit id="567" value="0" path="fpga_top.cby_0__3_.mem_right_ipin_5.mem_out[2]"> + </bit> + <bit id="566" value="0" path="fpga_top.cby_0__3_.mem_right_ipin_5.mem_out[1]"> + </bit> + <bit id="565" value="0" path="fpga_top.cby_0__3_.mem_right_ipin_5.mem_out[0]"> + </bit> + <bit id="564" value="0" path="fpga_top.cby_0__3_.mem_right_ipin_4.mem_out[2]"> + </bit> + <bit id="563" value="0" path="fpga_top.cby_0__3_.mem_right_ipin_4.mem_out[1]"> + </bit> + <bit id="562" value="0" path="fpga_top.cby_0__3_.mem_right_ipin_4.mem_out[0]"> + </bit> + <bit id="561" value="0" path="fpga_top.cby_0__3_.mem_right_ipin_3.mem_out[2]"> + </bit> + <bit id="560" value="0" path="fpga_top.cby_0__3_.mem_right_ipin_3.mem_out[1]"> + </bit> + <bit id="559" value="0" path="fpga_top.cby_0__3_.mem_right_ipin_3.mem_out[0]"> + </bit> + <bit id="558" value="0" path="fpga_top.cby_0__3_.mem_right_ipin_2.mem_out[2]"> + </bit> + <bit id="557" value="0" path="fpga_top.cby_0__3_.mem_right_ipin_2.mem_out[1]"> + </bit> + <bit id="556" value="0" path="fpga_top.cby_0__3_.mem_right_ipin_2.mem_out[0]"> + </bit> + <bit id="555" value="0" path="fpga_top.cby_0__3_.mem_right_ipin_1.mem_out[2]"> + </bit> + <bit id="554" value="0" path="fpga_top.cby_0__3_.mem_right_ipin_1.mem_out[1]"> + </bit> + <bit id="553" value="0" path="fpga_top.cby_0__3_.mem_right_ipin_1.mem_out[0]"> + </bit> + <bit id="552" value="0" path="fpga_top.cby_0__3_.mem_right_ipin_0.mem_out[2]"> + </bit> + <bit id="551" value="0" path="fpga_top.cby_0__3_.mem_right_ipin_0.mem_out[1]"> + </bit> + <bit id="550" value="0" path="fpga_top.cby_0__3_.mem_right_ipin_0.mem_out[0]"> + </bit> + <bit id="549" value="0" path="fpga_top.cby_0__3_.mem_left_ipin_1.mem_out[1]"> + </bit> + <bit id="548" value="0" path="fpga_top.cby_0__3_.mem_left_ipin_1.mem_out[0]"> + </bit> + <bit id="547" value="0" path="fpga_top.cby_0__3_.mem_left_ipin_0.mem_out[2]"> + </bit> + <bit id="546" value="0" path="fpga_top.cby_0__3_.mem_left_ipin_0.mem_out[1]"> + </bit> + <bit id="545" value="0" path="fpga_top.cby_0__3_.mem_left_ipin_0.mem_out[0]"> + </bit> + <bit id="544" value="0" path="fpga_top.sb_0__2_.mem_bottom_track_17.mem_out[3]"> + </bit> + <bit id="543" value="0" path="fpga_top.sb_0__2_.mem_bottom_track_17.mem_out[2]"> + </bit> + <bit id="542" value="0" path="fpga_top.sb_0__2_.mem_bottom_track_17.mem_out[1]"> + </bit> + <bit id="541" value="0" path="fpga_top.sb_0__2_.mem_bottom_track_17.mem_out[0]"> + </bit> + <bit id="540" value="0" path="fpga_top.sb_0__2_.mem_bottom_track_9.mem_out[3]"> + </bit> + <bit id="539" value="0" path="fpga_top.sb_0__2_.mem_bottom_track_9.mem_out[2]"> + </bit> + <bit id="538" value="0" path="fpga_top.sb_0__2_.mem_bottom_track_9.mem_out[1]"> + </bit> + <bit id="537" value="0" path="fpga_top.sb_0__2_.mem_bottom_track_9.mem_out[0]"> + </bit> + <bit id="536" value="0" path="fpga_top.sb_0__2_.mem_bottom_track_1.mem_out[3]"> + </bit> + <bit id="535" value="0" path="fpga_top.sb_0__2_.mem_bottom_track_1.mem_out[2]"> + </bit> + <bit id="534" value="0" path="fpga_top.sb_0__2_.mem_bottom_track_1.mem_out[1]"> + </bit> + <bit id="533" value="0" path="fpga_top.sb_0__2_.mem_bottom_track_1.mem_out[0]"> + </bit> + <bit id="532" value="0" path="fpga_top.sb_0__2_.mem_right_track_16.mem_out[1]"> + </bit> + <bit id="531" value="0" path="fpga_top.sb_0__2_.mem_right_track_16.mem_out[0]"> + </bit> + <bit id="530" value="0" path="fpga_top.sb_0__2_.mem_right_track_14.mem_out[1]"> + </bit> + <bit id="529" value="0" path="fpga_top.sb_0__2_.mem_right_track_14.mem_out[0]"> + </bit> + <bit id="528" value="0" path="fpga_top.sb_0__2_.mem_right_track_12.mem_out[1]"> + </bit> + <bit id="527" value="0" path="fpga_top.sb_0__2_.mem_right_track_12.mem_out[0]"> + </bit> + <bit id="526" value="0" path="fpga_top.sb_0__2_.mem_right_track_10.mem_out[1]"> + </bit> + <bit id="525" value="0" path="fpga_top.sb_0__2_.mem_right_track_10.mem_out[0]"> + </bit> + <bit id="524" value="0" path="fpga_top.sb_0__2_.mem_right_track_8.mem_out[1]"> + </bit> + <bit id="523" value="0" path="fpga_top.sb_0__2_.mem_right_track_8.mem_out[0]"> + </bit> + <bit id="522" value="0" path="fpga_top.sb_0__2_.mem_right_track_6.mem_out[1]"> + </bit> + <bit id="521" value="0" path="fpga_top.sb_0__2_.mem_right_track_6.mem_out[0]"> + </bit> + <bit id="520" value="0" path="fpga_top.sb_0__2_.mem_right_track_4.mem_out[1]"> + </bit> + <bit id="519" value="0" path="fpga_top.sb_0__2_.mem_right_track_4.mem_out[0]"> + </bit> + <bit id="518" value="0" path="fpga_top.sb_0__2_.mem_right_track_2.mem_out[1]"> + </bit> + <bit id="517" value="0" path="fpga_top.sb_0__2_.mem_right_track_2.mem_out[0]"> + </bit> + <bit id="516" value="0" path="fpga_top.sb_0__2_.mem_top_track_16.mem_out[3]"> + </bit> + <bit id="515" value="0" path="fpga_top.sb_0__2_.mem_top_track_16.mem_out[2]"> + </bit> + <bit id="514" value="0" path="fpga_top.sb_0__2_.mem_top_track_16.mem_out[1]"> + </bit> + <bit id="513" value="0" path="fpga_top.sb_0__2_.mem_top_track_16.mem_out[0]"> + </bit> + <bit id="512" value="0" path="fpga_top.sb_0__2_.mem_top_track_8.mem_out[3]"> + </bit> + <bit id="511" value="0" path="fpga_top.sb_0__2_.mem_top_track_8.mem_out[2]"> + </bit> + <bit id="510" value="0" path="fpga_top.sb_0__2_.mem_top_track_8.mem_out[1]"> + </bit> + <bit id="509" value="0" path="fpga_top.sb_0__2_.mem_top_track_8.mem_out[0]"> + </bit> + <bit id="508" value="0" path="fpga_top.sb_0__2_.mem_top_track_0.mem_out[3]"> + </bit> + <bit id="507" value="0" path="fpga_top.sb_0__2_.mem_top_track_0.mem_out[2]"> + </bit> + <bit id="506" value="0" path="fpga_top.sb_0__2_.mem_top_track_0.mem_out[1]"> + </bit> + <bit id="505" value="0" path="fpga_top.sb_0__2_.mem_top_track_0.mem_out[0]"> + </bit> + <bit id="504" value="1" path="fpga_top.grid_io_left_0__4_.logical_tile_io_mode_io__7.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="503" value="1" path="fpga_top.grid_io_left_0__4_.logical_tile_io_mode_io__6.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="502" value="1" path="fpga_top.grid_io_left_0__4_.logical_tile_io_mode_io__5.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="501" value="1" path="fpga_top.grid_io_left_0__4_.logical_tile_io_mode_io__4.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="500" value="1" path="fpga_top.grid_io_left_0__4_.logical_tile_io_mode_io__3.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="499" value="1" path="fpga_top.grid_io_left_0__4_.logical_tile_io_mode_io__2.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="498" value="1" path="fpga_top.grid_io_left_0__4_.logical_tile_io_mode_io__1.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="497" value="1" path="fpga_top.grid_io_left_0__4_.logical_tile_io_mode_io__0.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="496" value="0" path="fpga_top.cby_0__4_.mem_right_ipin_7.mem_out[2]"> + </bit> + <bit id="495" value="0" path="fpga_top.cby_0__4_.mem_right_ipin_7.mem_out[1]"> + </bit> + <bit id="494" value="0" path="fpga_top.cby_0__4_.mem_right_ipin_7.mem_out[0]"> + </bit> + <bit id="493" value="0" path="fpga_top.cby_0__4_.mem_right_ipin_6.mem_out[2]"> + </bit> + <bit id="492" value="0" path="fpga_top.cby_0__4_.mem_right_ipin_6.mem_out[1]"> + </bit> + <bit id="491" value="0" path="fpga_top.cby_0__4_.mem_right_ipin_6.mem_out[0]"> + </bit> + <bit id="490" value="0" path="fpga_top.cby_0__4_.mem_right_ipin_5.mem_out[2]"> + </bit> + <bit id="489" value="0" path="fpga_top.cby_0__4_.mem_right_ipin_5.mem_out[1]"> + </bit> + <bit id="488" value="0" path="fpga_top.cby_0__4_.mem_right_ipin_5.mem_out[0]"> + </bit> + <bit id="487" value="0" path="fpga_top.cby_0__4_.mem_right_ipin_4.mem_out[2]"> + </bit> + <bit id="486" value="0" path="fpga_top.cby_0__4_.mem_right_ipin_4.mem_out[1]"> + </bit> + <bit id="485" value="0" path="fpga_top.cby_0__4_.mem_right_ipin_4.mem_out[0]"> + </bit> + <bit id="484" value="0" path="fpga_top.cby_0__4_.mem_right_ipin_3.mem_out[2]"> + </bit> + <bit id="483" value="0" path="fpga_top.cby_0__4_.mem_right_ipin_3.mem_out[1]"> + </bit> + <bit id="482" value="0" path="fpga_top.cby_0__4_.mem_right_ipin_3.mem_out[0]"> + </bit> + <bit id="481" value="0" path="fpga_top.cby_0__4_.mem_right_ipin_2.mem_out[2]"> + </bit> + <bit id="480" value="0" path="fpga_top.cby_0__4_.mem_right_ipin_2.mem_out[1]"> + </bit> + <bit id="479" value="0" path="fpga_top.cby_0__4_.mem_right_ipin_2.mem_out[0]"> + </bit> + <bit id="478" value="0" path="fpga_top.cby_0__4_.mem_right_ipin_1.mem_out[2]"> + </bit> + <bit id="477" value="0" path="fpga_top.cby_0__4_.mem_right_ipin_1.mem_out[1]"> + </bit> + <bit id="476" value="0" path="fpga_top.cby_0__4_.mem_right_ipin_1.mem_out[0]"> + </bit> + <bit id="475" value="0" path="fpga_top.cby_0__4_.mem_right_ipin_0.mem_out[2]"> + </bit> + <bit id="474" value="0" path="fpga_top.cby_0__4_.mem_right_ipin_0.mem_out[1]"> + </bit> + <bit id="473" value="0" path="fpga_top.cby_0__4_.mem_right_ipin_0.mem_out[0]"> + </bit> + <bit id="472" value="0" path="fpga_top.cby_0__4_.mem_left_ipin_1.mem_out[1]"> + </bit> + <bit id="471" value="0" path="fpga_top.cby_0__4_.mem_left_ipin_1.mem_out[0]"> + </bit> + <bit id="470" value="0" path="fpga_top.cby_0__4_.mem_left_ipin_0.mem_out[2]"> + </bit> + <bit id="469" value="0" path="fpga_top.cby_0__4_.mem_left_ipin_0.mem_out[1]"> + </bit> + <bit id="468" value="0" path="fpga_top.cby_0__4_.mem_left_ipin_0.mem_out[0]"> + </bit> + <bit id="467" value="0" path="fpga_top.sb_0__3_.mem_bottom_track_17.mem_out[3]"> + </bit> + <bit id="466" value="0" path="fpga_top.sb_0__3_.mem_bottom_track_17.mem_out[2]"> + </bit> + <bit id="465" value="0" path="fpga_top.sb_0__3_.mem_bottom_track_17.mem_out[1]"> + </bit> + <bit id="464" value="0" path="fpga_top.sb_0__3_.mem_bottom_track_17.mem_out[0]"> + </bit> + <bit id="463" value="0" path="fpga_top.sb_0__3_.mem_bottom_track_9.mem_out[3]"> + </bit> + <bit id="462" value="0" path="fpga_top.sb_0__3_.mem_bottom_track_9.mem_out[2]"> + </bit> + <bit id="461" value="0" path="fpga_top.sb_0__3_.mem_bottom_track_9.mem_out[1]"> + </bit> + <bit id="460" value="0" path="fpga_top.sb_0__3_.mem_bottom_track_9.mem_out[0]"> + </bit> + <bit id="459" value="0" path="fpga_top.sb_0__3_.mem_bottom_track_1.mem_out[3]"> + </bit> + <bit id="458" value="0" path="fpga_top.sb_0__3_.mem_bottom_track_1.mem_out[2]"> + </bit> + <bit id="457" value="0" path="fpga_top.sb_0__3_.mem_bottom_track_1.mem_out[1]"> + </bit> + <bit id="456" value="0" path="fpga_top.sb_0__3_.mem_bottom_track_1.mem_out[0]"> + </bit> + <bit id="455" value="0" path="fpga_top.sb_0__3_.mem_right_track_16.mem_out[1]"> + </bit> + <bit id="454" value="0" path="fpga_top.sb_0__3_.mem_right_track_16.mem_out[0]"> + </bit> + <bit id="453" value="0" path="fpga_top.sb_0__3_.mem_right_track_14.mem_out[1]"> + </bit> + <bit id="452" value="0" path="fpga_top.sb_0__3_.mem_right_track_14.mem_out[0]"> + </bit> + <bit id="451" value="0" path="fpga_top.sb_0__3_.mem_right_track_12.mem_out[1]"> + </bit> + <bit id="450" value="0" path="fpga_top.sb_0__3_.mem_right_track_12.mem_out[0]"> + </bit> + <bit id="449" value="0" path="fpga_top.sb_0__3_.mem_right_track_10.mem_out[1]"> + </bit> + <bit id="448" value="0" path="fpga_top.sb_0__3_.mem_right_track_10.mem_out[0]"> + </bit> + <bit id="447" value="0" path="fpga_top.sb_0__3_.mem_right_track_8.mem_out[1]"> + </bit> + <bit id="446" value="0" path="fpga_top.sb_0__3_.mem_right_track_8.mem_out[0]"> + </bit> + <bit id="445" value="0" path="fpga_top.sb_0__3_.mem_right_track_6.mem_out[1]"> + </bit> + <bit id="444" value="0" path="fpga_top.sb_0__3_.mem_right_track_6.mem_out[0]"> + </bit> + <bit id="443" value="0" path="fpga_top.sb_0__3_.mem_right_track_4.mem_out[1]"> + </bit> + <bit id="442" value="0" path="fpga_top.sb_0__3_.mem_right_track_4.mem_out[0]"> + </bit> + <bit id="441" value="0" path="fpga_top.sb_0__3_.mem_right_track_2.mem_out[1]"> + </bit> + <bit id="440" value="0" path="fpga_top.sb_0__3_.mem_right_track_2.mem_out[0]"> + </bit> + <bit id="439" value="0" path="fpga_top.sb_0__3_.mem_top_track_16.mem_out[3]"> + </bit> + <bit id="438" value="0" path="fpga_top.sb_0__3_.mem_top_track_16.mem_out[2]"> + </bit> + <bit id="437" value="0" path="fpga_top.sb_0__3_.mem_top_track_16.mem_out[1]"> + </bit> + <bit id="436" value="0" path="fpga_top.sb_0__3_.mem_top_track_16.mem_out[0]"> + </bit> + <bit id="435" value="0" path="fpga_top.sb_0__3_.mem_top_track_8.mem_out[3]"> + </bit> + <bit id="434" value="0" path="fpga_top.sb_0__3_.mem_top_track_8.mem_out[2]"> + </bit> + <bit id="433" value="0" path="fpga_top.sb_0__3_.mem_top_track_8.mem_out[1]"> + </bit> + <bit id="432" value="0" path="fpga_top.sb_0__3_.mem_top_track_8.mem_out[0]"> + </bit> + <bit id="431" value="0" path="fpga_top.sb_0__3_.mem_top_track_0.mem_out[3]"> + </bit> + <bit id="430" value="0" path="fpga_top.sb_0__3_.mem_top_track_0.mem_out[2]"> + </bit> + <bit id="429" value="0" path="fpga_top.sb_0__3_.mem_top_track_0.mem_out[1]"> + </bit> + <bit id="428" value="0" path="fpga_top.sb_0__3_.mem_top_track_0.mem_out[0]"> + </bit> + <bit id="427" value="0" path="fpga_top.sb_0__4_.mem_bottom_track_17.mem_out[1]"> + </bit> + <bit id="426" value="0" path="fpga_top.sb_0__4_.mem_bottom_track_17.mem_out[0]"> + </bit> + <bit id="425" value="0" path="fpga_top.sb_0__4_.mem_bottom_track_15.mem_out[1]"> + </bit> + <bit id="424" value="0" path="fpga_top.sb_0__4_.mem_bottom_track_15.mem_out[0]"> + </bit> + <bit id="423" value="0" path="fpga_top.sb_0__4_.mem_bottom_track_13.mem_out[1]"> + </bit> + <bit id="422" value="0" path="fpga_top.sb_0__4_.mem_bottom_track_13.mem_out[0]"> + </bit> + <bit id="421" value="0" path="fpga_top.sb_0__4_.mem_bottom_track_11.mem_out[1]"> + </bit> + <bit id="420" value="0" path="fpga_top.sb_0__4_.mem_bottom_track_11.mem_out[0]"> + </bit> + <bit id="419" value="0" path="fpga_top.sb_0__4_.mem_bottom_track_9.mem_out[1]"> + </bit> + <bit id="418" value="0" path="fpga_top.sb_0__4_.mem_bottom_track_9.mem_out[0]"> + </bit> + <bit id="417" value="0" path="fpga_top.sb_0__4_.mem_bottom_track_7.mem_out[1]"> + </bit> + <bit id="416" value="0" path="fpga_top.sb_0__4_.mem_bottom_track_7.mem_out[0]"> + </bit> + <bit id="415" value="0" path="fpga_top.sb_0__4_.mem_bottom_track_5.mem_out[1]"> + </bit> + <bit id="414" value="0" path="fpga_top.sb_0__4_.mem_bottom_track_5.mem_out[0]"> + </bit> + <bit id="413" value="0" path="fpga_top.sb_0__4_.mem_bottom_track_3.mem_out[1]"> + </bit> + <bit id="412" value="0" path="fpga_top.sb_0__4_.mem_bottom_track_3.mem_out[0]"> + </bit> + <bit id="411" value="0" path="fpga_top.sb_0__4_.mem_bottom_track_1.mem_out[1]"> + </bit> + <bit id="410" value="0" path="fpga_top.sb_0__4_.mem_bottom_track_1.mem_out[0]"> + </bit> + <bit id="409" value="0" path="fpga_top.sb_0__4_.mem_right_track_16.mem_out[1]"> + </bit> + <bit id="408" value="0" path="fpga_top.sb_0__4_.mem_right_track_16.mem_out[0]"> + </bit> + <bit id="407" value="0" path="fpga_top.sb_0__4_.mem_right_track_14.mem_out[1]"> + </bit> + <bit id="406" value="0" path="fpga_top.sb_0__4_.mem_right_track_14.mem_out[0]"> + </bit> + <bit id="405" value="0" path="fpga_top.sb_0__4_.mem_right_track_12.mem_out[1]"> + </bit> + <bit id="404" value="0" path="fpga_top.sb_0__4_.mem_right_track_12.mem_out[0]"> + </bit> + <bit id="403" value="0" path="fpga_top.sb_0__4_.mem_right_track_10.mem_out[1]"> + </bit> + <bit id="402" value="0" path="fpga_top.sb_0__4_.mem_right_track_10.mem_out[0]"> + </bit> + <bit id="401" value="0" path="fpga_top.sb_0__4_.mem_right_track_8.mem_out[1]"> + </bit> + <bit id="400" value="0" path="fpga_top.sb_0__4_.mem_right_track_8.mem_out[0]"> + </bit> + <bit id="399" value="0" path="fpga_top.sb_0__4_.mem_right_track_6.mem_out[1]"> + </bit> + <bit id="398" value="0" path="fpga_top.sb_0__4_.mem_right_track_6.mem_out[0]"> + </bit> + <bit id="397" value="0" path="fpga_top.sb_0__4_.mem_right_track_4.mem_out[1]"> + </bit> + <bit id="396" value="0" path="fpga_top.sb_0__4_.mem_right_track_4.mem_out[0]"> + </bit> + <bit id="395" value="0" path="fpga_top.sb_0__4_.mem_right_track_2.mem_out[1]"> + </bit> + <bit id="394" value="0" path="fpga_top.sb_0__4_.mem_right_track_2.mem_out[0]"> + </bit> + <bit id="393" value="0" path="fpga_top.sb_0__4_.mem_right_track_0.mem_out[1]"> + </bit> + <bit id="392" value="0" path="fpga_top.sb_0__4_.mem_right_track_0.mem_out[0]"> + </bit> + <bit id="391" value="1" path="fpga_top.grid_io_top_1__5_.logical_tile_io_mode_io__7.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="390" value="1" path="fpga_top.grid_io_top_1__5_.logical_tile_io_mode_io__6.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="389" value="1" path="fpga_top.grid_io_top_1__5_.logical_tile_io_mode_io__5.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="388" value="1" path="fpga_top.grid_io_top_1__5_.logical_tile_io_mode_io__4.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="387" value="1" path="fpga_top.grid_io_top_1__5_.logical_tile_io_mode_io__3.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="386" value="1" path="fpga_top.grid_io_top_1__5_.logical_tile_io_mode_io__2.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="385" value="1" path="fpga_top.grid_io_top_1__5_.logical_tile_io_mode_io__1.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="384" value="1" path="fpga_top.grid_io_top_1__5_.logical_tile_io_mode_io__0.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="383" value="0" path="fpga_top.cbx_1__4_.mem_top_ipin_2.mem_out[1]"> + </bit> + <bit id="382" value="0" path="fpga_top.cbx_1__4_.mem_top_ipin_2.mem_out[0]"> + </bit> + <bit id="381" value="0" path="fpga_top.cbx_1__4_.mem_top_ipin_1.mem_out[2]"> + </bit> + <bit id="380" value="0" path="fpga_top.cbx_1__4_.mem_top_ipin_1.mem_out[1]"> + </bit> + <bit id="379" value="0" path="fpga_top.cbx_1__4_.mem_top_ipin_1.mem_out[0]"> + </bit> + <bit id="378" value="0" path="fpga_top.cbx_1__4_.mem_top_ipin_0.mem_out[2]"> + </bit> + <bit id="377" value="0" path="fpga_top.cbx_1__4_.mem_top_ipin_0.mem_out[1]"> + </bit> + <bit id="376" value="0" path="fpga_top.cbx_1__4_.mem_top_ipin_0.mem_out[0]"> + </bit> + <bit id="375" value="0" path="fpga_top.cbx_1__4_.mem_bottom_ipin_7.mem_out[2]"> + </bit> + <bit id="374" value="0" path="fpga_top.cbx_1__4_.mem_bottom_ipin_7.mem_out[1]"> + </bit> + <bit id="373" value="0" path="fpga_top.cbx_1__4_.mem_bottom_ipin_7.mem_out[0]"> + </bit> + <bit id="372" value="0" path="fpga_top.cbx_1__4_.mem_bottom_ipin_6.mem_out[2]"> + </bit> + <bit id="371" value="0" path="fpga_top.cbx_1__4_.mem_bottom_ipin_6.mem_out[1]"> + </bit> + <bit id="370" value="0" path="fpga_top.cbx_1__4_.mem_bottom_ipin_6.mem_out[0]"> + </bit> + <bit id="369" value="0" path="fpga_top.cbx_1__4_.mem_bottom_ipin_5.mem_out[2]"> + </bit> + <bit id="368" value="0" path="fpga_top.cbx_1__4_.mem_bottom_ipin_5.mem_out[1]"> + </bit> + <bit id="367" value="0" path="fpga_top.cbx_1__4_.mem_bottom_ipin_5.mem_out[0]"> + </bit> + <bit id="366" value="0" path="fpga_top.cbx_1__4_.mem_bottom_ipin_4.mem_out[2]"> + </bit> + <bit id="365" value="0" path="fpga_top.cbx_1__4_.mem_bottom_ipin_4.mem_out[1]"> + </bit> + <bit id="364" value="0" path="fpga_top.cbx_1__4_.mem_bottom_ipin_4.mem_out[0]"> + </bit> + <bit id="363" value="0" path="fpga_top.cbx_1__4_.mem_bottom_ipin_3.mem_out[2]"> + </bit> + <bit id="362" value="0" path="fpga_top.cbx_1__4_.mem_bottom_ipin_3.mem_out[1]"> + </bit> + <bit id="361" value="0" path="fpga_top.cbx_1__4_.mem_bottom_ipin_3.mem_out[0]"> + </bit> + <bit id="360" value="0" path="fpga_top.cbx_1__4_.mem_bottom_ipin_2.mem_out[2]"> + </bit> + <bit id="359" value="0" path="fpga_top.cbx_1__4_.mem_bottom_ipin_2.mem_out[1]"> + </bit> + <bit id="358" value="0" path="fpga_top.cbx_1__4_.mem_bottom_ipin_2.mem_out[0]"> + </bit> + <bit id="357" value="0" path="fpga_top.cbx_1__4_.mem_bottom_ipin_1.mem_out[2]"> + </bit> + <bit id="356" value="0" path="fpga_top.cbx_1__4_.mem_bottom_ipin_1.mem_out[1]"> + </bit> + <bit id="355" value="0" path="fpga_top.cbx_1__4_.mem_bottom_ipin_1.mem_out[0]"> + </bit> + <bit id="354" value="0" path="fpga_top.cbx_1__4_.mem_bottom_ipin_0.mem_out[2]"> + </bit> + <bit id="353" value="0" path="fpga_top.cbx_1__4_.mem_bottom_ipin_0.mem_out[1]"> + </bit> + <bit id="352" value="0" path="fpga_top.cbx_1__4_.mem_bottom_ipin_0.mem_out[0]"> + </bit> + <bit id="351" value="0" path="fpga_top.sb_1__4_.mem_left_track_17.mem_out[3]"> + </bit> + <bit id="350" value="0" path="fpga_top.sb_1__4_.mem_left_track_17.mem_out[2]"> + </bit> + <bit id="349" value="0" path="fpga_top.sb_1__4_.mem_left_track_17.mem_out[1]"> + </bit> + <bit id="348" value="0" path="fpga_top.sb_1__4_.mem_left_track_17.mem_out[0]"> + </bit> + <bit id="347" value="0" path="fpga_top.sb_1__4_.mem_left_track_9.mem_out[3]"> + </bit> + <bit id="346" value="0" path="fpga_top.sb_1__4_.mem_left_track_9.mem_out[2]"> + </bit> + <bit id="345" value="0" path="fpga_top.sb_1__4_.mem_left_track_9.mem_out[1]"> + </bit> + <bit id="344" value="0" path="fpga_top.sb_1__4_.mem_left_track_9.mem_out[0]"> + </bit> + <bit id="343" value="0" path="fpga_top.sb_1__4_.mem_left_track_1.mem_out[3]"> + </bit> + <bit id="342" value="0" path="fpga_top.sb_1__4_.mem_left_track_1.mem_out[2]"> + </bit> + <bit id="341" value="0" path="fpga_top.sb_1__4_.mem_left_track_1.mem_out[1]"> + </bit> + <bit id="340" value="0" path="fpga_top.sb_1__4_.mem_left_track_1.mem_out[0]"> + </bit> + <bit id="339" value="0" path="fpga_top.sb_1__4_.mem_bottom_track_19.mem_out[1]"> + </bit> + <bit id="338" value="0" path="fpga_top.sb_1__4_.mem_bottom_track_19.mem_out[0]"> + </bit> + <bit id="337" value="0" path="fpga_top.sb_1__4_.mem_bottom_track_17.mem_out[1]"> + </bit> + <bit id="336" value="0" path="fpga_top.sb_1__4_.mem_bottom_track_17.mem_out[0]"> + </bit> + <bit id="335" value="0" path="fpga_top.sb_1__4_.mem_bottom_track_15.mem_out[1]"> + </bit> + <bit id="334" value="0" path="fpga_top.sb_1__4_.mem_bottom_track_15.mem_out[0]"> + </bit> + <bit id="333" value="0" path="fpga_top.sb_1__4_.mem_bottom_track_13.mem_out[1]"> + </bit> + <bit id="332" value="0" path="fpga_top.sb_1__4_.mem_bottom_track_13.mem_out[0]"> + </bit> + <bit id="331" value="0" path="fpga_top.sb_1__4_.mem_bottom_track_11.mem_out[1]"> + </bit> + <bit id="330" value="0" path="fpga_top.sb_1__4_.mem_bottom_track_11.mem_out[0]"> + </bit> + <bit id="329" value="0" path="fpga_top.sb_1__4_.mem_bottom_track_9.mem_out[1]"> + </bit> + <bit id="328" value="0" path="fpga_top.sb_1__4_.mem_bottom_track_9.mem_out[0]"> + </bit> + <bit id="327" value="0" path="fpga_top.sb_1__4_.mem_bottom_track_7.mem_out[1]"> + </bit> + <bit id="326" value="0" path="fpga_top.sb_1__4_.mem_bottom_track_7.mem_out[0]"> + </bit> + <bit id="325" value="0" path="fpga_top.sb_1__4_.mem_bottom_track_5.mem_out[1]"> + </bit> + <bit id="324" value="0" path="fpga_top.sb_1__4_.mem_bottom_track_5.mem_out[0]"> + </bit> + <bit id="323" value="0" path="fpga_top.sb_1__4_.mem_bottom_track_3.mem_out[1]"> + </bit> + <bit id="322" value="0" path="fpga_top.sb_1__4_.mem_bottom_track_3.mem_out[0]"> + </bit> + <bit id="321" value="0" path="fpga_top.sb_1__4_.mem_bottom_track_1.mem_out[1]"> + </bit> + <bit id="320" value="0" path="fpga_top.sb_1__4_.mem_bottom_track_1.mem_out[0]"> + </bit> + <bit id="319" value="0" path="fpga_top.sb_1__4_.mem_right_track_16.mem_out[3]"> + </bit> + <bit id="318" value="0" path="fpga_top.sb_1__4_.mem_right_track_16.mem_out[2]"> + </bit> + <bit id="317" value="0" path="fpga_top.sb_1__4_.mem_right_track_16.mem_out[1]"> + </bit> + <bit id="316" value="0" path="fpga_top.sb_1__4_.mem_right_track_16.mem_out[0]"> + </bit> + <bit id="315" value="0" path="fpga_top.sb_1__4_.mem_right_track_8.mem_out[3]"> + </bit> + <bit id="314" value="0" path="fpga_top.sb_1__4_.mem_right_track_8.mem_out[2]"> + </bit> + <bit id="313" value="0" path="fpga_top.sb_1__4_.mem_right_track_8.mem_out[1]"> + </bit> + <bit id="312" value="0" path="fpga_top.sb_1__4_.mem_right_track_8.mem_out[0]"> + </bit> + <bit id="311" value="0" path="fpga_top.sb_1__4_.mem_right_track_0.mem_out[3]"> + </bit> + <bit id="310" value="0" path="fpga_top.sb_1__4_.mem_right_track_0.mem_out[2]"> + </bit> + <bit id="309" value="0" path="fpga_top.sb_1__4_.mem_right_track_0.mem_out[1]"> + </bit> + <bit id="308" value="0" path="fpga_top.sb_1__4_.mem_right_track_0.mem_out[0]"> + </bit> + <bit id="307" value="1" path="fpga_top.grid_io_top_2__5_.logical_tile_io_mode_io__7.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="306" value="1" path="fpga_top.grid_io_top_2__5_.logical_tile_io_mode_io__6.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="305" value="1" path="fpga_top.grid_io_top_2__5_.logical_tile_io_mode_io__5.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="304" value="1" path="fpga_top.grid_io_top_2__5_.logical_tile_io_mode_io__4.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="303" value="1" path="fpga_top.grid_io_top_2__5_.logical_tile_io_mode_io__3.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="302" value="1" path="fpga_top.grid_io_top_2__5_.logical_tile_io_mode_io__2.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="301" value="1" path="fpga_top.grid_io_top_2__5_.logical_tile_io_mode_io__1.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="300" value="1" path="fpga_top.grid_io_top_2__5_.logical_tile_io_mode_io__0.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="299" value="0" path="fpga_top.cbx_2__4_.mem_top_ipin_2.mem_out[1]"> + </bit> + <bit id="298" value="0" path="fpga_top.cbx_2__4_.mem_top_ipin_2.mem_out[0]"> + </bit> + <bit id="297" value="0" path="fpga_top.cbx_2__4_.mem_top_ipin_1.mem_out[2]"> + </bit> + <bit id="296" value="0" path="fpga_top.cbx_2__4_.mem_top_ipin_1.mem_out[1]"> + </bit> + <bit id="295" value="0" path="fpga_top.cbx_2__4_.mem_top_ipin_1.mem_out[0]"> + </bit> + <bit id="294" value="0" path="fpga_top.cbx_2__4_.mem_top_ipin_0.mem_out[2]"> + </bit> + <bit id="293" value="0" path="fpga_top.cbx_2__4_.mem_top_ipin_0.mem_out[1]"> + </bit> + <bit id="292" value="0" path="fpga_top.cbx_2__4_.mem_top_ipin_0.mem_out[0]"> + </bit> + <bit id="291" value="0" path="fpga_top.cbx_2__4_.mem_bottom_ipin_7.mem_out[2]"> + </bit> + <bit id="290" value="0" path="fpga_top.cbx_2__4_.mem_bottom_ipin_7.mem_out[1]"> + </bit> + <bit id="289" value="0" path="fpga_top.cbx_2__4_.mem_bottom_ipin_7.mem_out[0]"> + </bit> + <bit id="288" value="0" path="fpga_top.cbx_2__4_.mem_bottom_ipin_6.mem_out[2]"> + </bit> + <bit id="287" value="0" path="fpga_top.cbx_2__4_.mem_bottom_ipin_6.mem_out[1]"> + </bit> + <bit id="286" value="0" path="fpga_top.cbx_2__4_.mem_bottom_ipin_6.mem_out[0]"> + </bit> + <bit id="285" value="0" path="fpga_top.cbx_2__4_.mem_bottom_ipin_5.mem_out[2]"> + </bit> + <bit id="284" value="0" path="fpga_top.cbx_2__4_.mem_bottom_ipin_5.mem_out[1]"> + </bit> + <bit id="283" value="0" path="fpga_top.cbx_2__4_.mem_bottom_ipin_5.mem_out[0]"> + </bit> + <bit id="282" value="0" path="fpga_top.cbx_2__4_.mem_bottom_ipin_4.mem_out[2]"> + </bit> + <bit id="281" value="0" path="fpga_top.cbx_2__4_.mem_bottom_ipin_4.mem_out[1]"> + </bit> + <bit id="280" value="0" path="fpga_top.cbx_2__4_.mem_bottom_ipin_4.mem_out[0]"> + </bit> + <bit id="279" value="0" path="fpga_top.cbx_2__4_.mem_bottom_ipin_3.mem_out[2]"> + </bit> + <bit id="278" value="0" path="fpga_top.cbx_2__4_.mem_bottom_ipin_3.mem_out[1]"> + </bit> + <bit id="277" value="0" path="fpga_top.cbx_2__4_.mem_bottom_ipin_3.mem_out[0]"> + </bit> + <bit id="276" value="0" path="fpga_top.cbx_2__4_.mem_bottom_ipin_2.mem_out[2]"> + </bit> + <bit id="275" value="0" path="fpga_top.cbx_2__4_.mem_bottom_ipin_2.mem_out[1]"> + </bit> + <bit id="274" value="0" path="fpga_top.cbx_2__4_.mem_bottom_ipin_2.mem_out[0]"> + </bit> + <bit id="273" value="0" path="fpga_top.cbx_2__4_.mem_bottom_ipin_1.mem_out[2]"> + </bit> + <bit id="272" value="0" path="fpga_top.cbx_2__4_.mem_bottom_ipin_1.mem_out[1]"> + </bit> + <bit id="271" value="0" path="fpga_top.cbx_2__4_.mem_bottom_ipin_1.mem_out[0]"> + </bit> + <bit id="270" value="0" path="fpga_top.cbx_2__4_.mem_bottom_ipin_0.mem_out[2]"> + </bit> + <bit id="269" value="0" path="fpga_top.cbx_2__4_.mem_bottom_ipin_0.mem_out[1]"> + </bit> + <bit id="268" value="0" path="fpga_top.cbx_2__4_.mem_bottom_ipin_0.mem_out[0]"> + </bit> + <bit id="267" value="0" path="fpga_top.sb_2__4_.mem_left_track_17.mem_out[3]"> + </bit> + <bit id="266" value="0" path="fpga_top.sb_2__4_.mem_left_track_17.mem_out[2]"> + </bit> + <bit id="265" value="0" path="fpga_top.sb_2__4_.mem_left_track_17.mem_out[1]"> + </bit> + <bit id="264" value="0" path="fpga_top.sb_2__4_.mem_left_track_17.mem_out[0]"> + </bit> + <bit id="263" value="0" path="fpga_top.sb_2__4_.mem_left_track_9.mem_out[3]"> + </bit> + <bit id="262" value="0" path="fpga_top.sb_2__4_.mem_left_track_9.mem_out[2]"> + </bit> + <bit id="261" value="0" path="fpga_top.sb_2__4_.mem_left_track_9.mem_out[1]"> + </bit> + <bit id="260" value="0" path="fpga_top.sb_2__4_.mem_left_track_9.mem_out[0]"> + </bit> + <bit id="259" value="0" path="fpga_top.sb_2__4_.mem_left_track_1.mem_out[3]"> + </bit> + <bit id="258" value="0" path="fpga_top.sb_2__4_.mem_left_track_1.mem_out[2]"> + </bit> + <bit id="257" value="0" path="fpga_top.sb_2__4_.mem_left_track_1.mem_out[1]"> + </bit> + <bit id="256" value="0" path="fpga_top.sb_2__4_.mem_left_track_1.mem_out[0]"> + </bit> + <bit id="255" value="0" path="fpga_top.sb_2__4_.mem_bottom_track_19.mem_out[1]"> + </bit> + <bit id="254" value="0" path="fpga_top.sb_2__4_.mem_bottom_track_19.mem_out[0]"> + </bit> + <bit id="253" value="0" path="fpga_top.sb_2__4_.mem_bottom_track_17.mem_out[1]"> + </bit> + <bit id="252" value="0" path="fpga_top.sb_2__4_.mem_bottom_track_17.mem_out[0]"> + </bit> + <bit id="251" value="0" path="fpga_top.sb_2__4_.mem_bottom_track_15.mem_out[1]"> + </bit> + <bit id="250" value="0" path="fpga_top.sb_2__4_.mem_bottom_track_15.mem_out[0]"> + </bit> + <bit id="249" value="0" path="fpga_top.sb_2__4_.mem_bottom_track_13.mem_out[1]"> + </bit> + <bit id="248" value="0" path="fpga_top.sb_2__4_.mem_bottom_track_13.mem_out[0]"> + </bit> + <bit id="247" value="0" path="fpga_top.sb_2__4_.mem_bottom_track_11.mem_out[1]"> + </bit> + <bit id="246" value="0" path="fpga_top.sb_2__4_.mem_bottom_track_11.mem_out[0]"> + </bit> + <bit id="245" value="0" path="fpga_top.sb_2__4_.mem_bottom_track_9.mem_out[1]"> + </bit> + <bit id="244" value="0" path="fpga_top.sb_2__4_.mem_bottom_track_9.mem_out[0]"> + </bit> + <bit id="243" value="0" path="fpga_top.sb_2__4_.mem_bottom_track_7.mem_out[1]"> + </bit> + <bit id="242" value="0" path="fpga_top.sb_2__4_.mem_bottom_track_7.mem_out[0]"> + </bit> + <bit id="241" value="0" path="fpga_top.sb_2__4_.mem_bottom_track_5.mem_out[1]"> + </bit> + <bit id="240" value="0" path="fpga_top.sb_2__4_.mem_bottom_track_5.mem_out[0]"> + </bit> + <bit id="239" value="0" path="fpga_top.sb_2__4_.mem_bottom_track_3.mem_out[1]"> + </bit> + <bit id="238" value="0" path="fpga_top.sb_2__4_.mem_bottom_track_3.mem_out[0]"> + </bit> + <bit id="237" value="0" path="fpga_top.sb_2__4_.mem_bottom_track_1.mem_out[1]"> + </bit> + <bit id="236" value="0" path="fpga_top.sb_2__4_.mem_bottom_track_1.mem_out[0]"> + </bit> + <bit id="235" value="0" path="fpga_top.sb_2__4_.mem_right_track_16.mem_out[3]"> + </bit> + <bit id="234" value="0" path="fpga_top.sb_2__4_.mem_right_track_16.mem_out[2]"> + </bit> + <bit id="233" value="0" path="fpga_top.sb_2__4_.mem_right_track_16.mem_out[1]"> + </bit> + <bit id="232" value="0" path="fpga_top.sb_2__4_.mem_right_track_16.mem_out[0]"> + </bit> + <bit id="231" value="0" path="fpga_top.sb_2__4_.mem_right_track_8.mem_out[3]"> + </bit> + <bit id="230" value="0" path="fpga_top.sb_2__4_.mem_right_track_8.mem_out[2]"> + </bit> + <bit id="229" value="0" path="fpga_top.sb_2__4_.mem_right_track_8.mem_out[1]"> + </bit> + <bit id="228" value="0" path="fpga_top.sb_2__4_.mem_right_track_8.mem_out[0]"> + </bit> + <bit id="227" value="0" path="fpga_top.sb_2__4_.mem_right_track_0.mem_out[3]"> + </bit> + <bit id="226" value="0" path="fpga_top.sb_2__4_.mem_right_track_0.mem_out[2]"> + </bit> + <bit id="225" value="0" path="fpga_top.sb_2__4_.mem_right_track_0.mem_out[1]"> + </bit> + <bit id="224" value="0" path="fpga_top.sb_2__4_.mem_right_track_0.mem_out[0]"> + </bit> + <bit id="223" value="1" path="fpga_top.grid_io_top_3__5_.logical_tile_io_mode_io__7.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="222" value="1" path="fpga_top.grid_io_top_3__5_.logical_tile_io_mode_io__6.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="221" value="1" path="fpga_top.grid_io_top_3__5_.logical_tile_io_mode_io__5.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="220" value="1" path="fpga_top.grid_io_top_3__5_.logical_tile_io_mode_io__4.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="219" value="1" path="fpga_top.grid_io_top_3__5_.logical_tile_io_mode_io__3.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="218" value="1" path="fpga_top.grid_io_top_3__5_.logical_tile_io_mode_io__2.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="217" value="1" path="fpga_top.grid_io_top_3__5_.logical_tile_io_mode_io__1.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="216" value="1" path="fpga_top.grid_io_top_3__5_.logical_tile_io_mode_io__0.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="215" value="0" path="fpga_top.cbx_3__4_.mem_top_ipin_2.mem_out[1]"> + </bit> + <bit id="214" value="0" path="fpga_top.cbx_3__4_.mem_top_ipin_2.mem_out[0]"> + </bit> + <bit id="213" value="0" path="fpga_top.cbx_3__4_.mem_top_ipin_1.mem_out[2]"> + </bit> + <bit id="212" value="0" path="fpga_top.cbx_3__4_.mem_top_ipin_1.mem_out[1]"> + </bit> + <bit id="211" value="0" path="fpga_top.cbx_3__4_.mem_top_ipin_1.mem_out[0]"> + </bit> + <bit id="210" value="0" path="fpga_top.cbx_3__4_.mem_top_ipin_0.mem_out[2]"> + </bit> + <bit id="209" value="0" path="fpga_top.cbx_3__4_.mem_top_ipin_0.mem_out[1]"> + </bit> + <bit id="208" value="0" path="fpga_top.cbx_3__4_.mem_top_ipin_0.mem_out[0]"> + </bit> + <bit id="207" value="0" path="fpga_top.cbx_3__4_.mem_bottom_ipin_7.mem_out[2]"> + </bit> + <bit id="206" value="0" path="fpga_top.cbx_3__4_.mem_bottom_ipin_7.mem_out[1]"> + </bit> + <bit id="205" value="0" path="fpga_top.cbx_3__4_.mem_bottom_ipin_7.mem_out[0]"> + </bit> + <bit id="204" value="0" path="fpga_top.cbx_3__4_.mem_bottom_ipin_6.mem_out[2]"> + </bit> + <bit id="203" value="0" path="fpga_top.cbx_3__4_.mem_bottom_ipin_6.mem_out[1]"> + </bit> + <bit id="202" value="0" path="fpga_top.cbx_3__4_.mem_bottom_ipin_6.mem_out[0]"> + </bit> + <bit id="201" value="0" path="fpga_top.cbx_3__4_.mem_bottom_ipin_5.mem_out[2]"> + </bit> + <bit id="200" value="0" path="fpga_top.cbx_3__4_.mem_bottom_ipin_5.mem_out[1]"> + </bit> + <bit id="199" value="0" path="fpga_top.cbx_3__4_.mem_bottom_ipin_5.mem_out[0]"> + </bit> + <bit id="198" value="0" path="fpga_top.cbx_3__4_.mem_bottom_ipin_4.mem_out[2]"> + </bit> + <bit id="197" value="0" path="fpga_top.cbx_3__4_.mem_bottom_ipin_4.mem_out[1]"> + </bit> + <bit id="196" value="0" path="fpga_top.cbx_3__4_.mem_bottom_ipin_4.mem_out[0]"> + </bit> + <bit id="195" value="0" path="fpga_top.cbx_3__4_.mem_bottom_ipin_3.mem_out[2]"> + </bit> + <bit id="194" value="0" path="fpga_top.cbx_3__4_.mem_bottom_ipin_3.mem_out[1]"> + </bit> + <bit id="193" value="0" path="fpga_top.cbx_3__4_.mem_bottom_ipin_3.mem_out[0]"> + </bit> + <bit id="192" value="0" path="fpga_top.cbx_3__4_.mem_bottom_ipin_2.mem_out[2]"> + </bit> + <bit id="191" value="0" path="fpga_top.cbx_3__4_.mem_bottom_ipin_2.mem_out[1]"> + </bit> + <bit id="190" value="0" path="fpga_top.cbx_3__4_.mem_bottom_ipin_2.mem_out[0]"> + </bit> + <bit id="189" value="0" path="fpga_top.cbx_3__4_.mem_bottom_ipin_1.mem_out[2]"> + </bit> + <bit id="188" value="0" path="fpga_top.cbx_3__4_.mem_bottom_ipin_1.mem_out[1]"> + </bit> + <bit id="187" value="0" path="fpga_top.cbx_3__4_.mem_bottom_ipin_1.mem_out[0]"> + </bit> + <bit id="186" value="0" path="fpga_top.cbx_3__4_.mem_bottom_ipin_0.mem_out[2]"> + </bit> + <bit id="185" value="0" path="fpga_top.cbx_3__4_.mem_bottom_ipin_0.mem_out[1]"> + </bit> + <bit id="184" value="0" path="fpga_top.cbx_3__4_.mem_bottom_ipin_0.mem_out[0]"> + </bit> + <bit id="183" value="0" path="fpga_top.sb_3__4_.mem_left_track_17.mem_out[3]"> + </bit> + <bit id="182" value="0" path="fpga_top.sb_3__4_.mem_left_track_17.mem_out[2]"> + </bit> + <bit id="181" value="0" path="fpga_top.sb_3__4_.mem_left_track_17.mem_out[1]"> + </bit> + <bit id="180" value="0" path="fpga_top.sb_3__4_.mem_left_track_17.mem_out[0]"> + </bit> + <bit id="179" value="0" path="fpga_top.sb_3__4_.mem_left_track_9.mem_out[3]"> + </bit> + <bit id="178" value="0" path="fpga_top.sb_3__4_.mem_left_track_9.mem_out[2]"> + </bit> + <bit id="177" value="0" path="fpga_top.sb_3__4_.mem_left_track_9.mem_out[1]"> + </bit> + <bit id="176" value="0" path="fpga_top.sb_3__4_.mem_left_track_9.mem_out[0]"> + </bit> + <bit id="175" value="0" path="fpga_top.sb_3__4_.mem_left_track_1.mem_out[3]"> + </bit> + <bit id="174" value="0" path="fpga_top.sb_3__4_.mem_left_track_1.mem_out[2]"> + </bit> + <bit id="173" value="0" path="fpga_top.sb_3__4_.mem_left_track_1.mem_out[1]"> + </bit> + <bit id="172" value="0" path="fpga_top.sb_3__4_.mem_left_track_1.mem_out[0]"> + </bit> + <bit id="171" value="0" path="fpga_top.sb_3__4_.mem_bottom_track_19.mem_out[1]"> + </bit> + <bit id="170" value="0" path="fpga_top.sb_3__4_.mem_bottom_track_19.mem_out[0]"> + </bit> + <bit id="169" value="0" path="fpga_top.sb_3__4_.mem_bottom_track_17.mem_out[1]"> + </bit> + <bit id="168" value="0" path="fpga_top.sb_3__4_.mem_bottom_track_17.mem_out[0]"> + </bit> + <bit id="167" value="0" path="fpga_top.sb_3__4_.mem_bottom_track_15.mem_out[1]"> + </bit> + <bit id="166" value="0" path="fpga_top.sb_3__4_.mem_bottom_track_15.mem_out[0]"> + </bit> + <bit id="165" value="0" path="fpga_top.sb_3__4_.mem_bottom_track_13.mem_out[1]"> + </bit> + <bit id="164" value="0" path="fpga_top.sb_3__4_.mem_bottom_track_13.mem_out[0]"> + </bit> + <bit id="163" value="0" path="fpga_top.sb_3__4_.mem_bottom_track_11.mem_out[1]"> + </bit> + <bit id="162" value="0" path="fpga_top.sb_3__4_.mem_bottom_track_11.mem_out[0]"> + </bit> + <bit id="161" value="0" path="fpga_top.sb_3__4_.mem_bottom_track_9.mem_out[1]"> + </bit> + <bit id="160" value="0" path="fpga_top.sb_3__4_.mem_bottom_track_9.mem_out[0]"> + </bit> + <bit id="159" value="0" path="fpga_top.sb_3__4_.mem_bottom_track_7.mem_out[1]"> + </bit> + <bit id="158" value="0" path="fpga_top.sb_3__4_.mem_bottom_track_7.mem_out[0]"> + </bit> + <bit id="157" value="0" path="fpga_top.sb_3__4_.mem_bottom_track_5.mem_out[1]"> + </bit> + <bit id="156" value="0" path="fpga_top.sb_3__4_.mem_bottom_track_5.mem_out[0]"> + </bit> + <bit id="155" value="0" path="fpga_top.sb_3__4_.mem_bottom_track_3.mem_out[1]"> + </bit> + <bit id="154" value="0" path="fpga_top.sb_3__4_.mem_bottom_track_3.mem_out[0]"> + </bit> + <bit id="153" value="0" path="fpga_top.sb_3__4_.mem_bottom_track_1.mem_out[1]"> + </bit> + <bit id="152" value="0" path="fpga_top.sb_3__4_.mem_bottom_track_1.mem_out[0]"> + </bit> + <bit id="151" value="0" path="fpga_top.sb_3__4_.mem_right_track_16.mem_out[3]"> + </bit> + <bit id="150" value="0" path="fpga_top.sb_3__4_.mem_right_track_16.mem_out[2]"> + </bit> + <bit id="149" value="0" path="fpga_top.sb_3__4_.mem_right_track_16.mem_out[1]"> + </bit> + <bit id="148" value="0" path="fpga_top.sb_3__4_.mem_right_track_16.mem_out[0]"> + </bit> + <bit id="147" value="0" path="fpga_top.sb_3__4_.mem_right_track_8.mem_out[3]"> + </bit> + <bit id="146" value="0" path="fpga_top.sb_3__4_.mem_right_track_8.mem_out[2]"> + </bit> + <bit id="145" value="0" path="fpga_top.sb_3__4_.mem_right_track_8.mem_out[1]"> + </bit> + <bit id="144" value="0" path="fpga_top.sb_3__4_.mem_right_track_8.mem_out[0]"> + </bit> + <bit id="143" value="0" path="fpga_top.sb_3__4_.mem_right_track_0.mem_out[3]"> + </bit> + <bit id="142" value="0" path="fpga_top.sb_3__4_.mem_right_track_0.mem_out[2]"> + </bit> + <bit id="141" value="0" path="fpga_top.sb_3__4_.mem_right_track_0.mem_out[1]"> + </bit> + <bit id="140" value="0" path="fpga_top.sb_3__4_.mem_right_track_0.mem_out[0]"> + </bit> + <bit id="139" value="1" path="fpga_top.grid_io_top_4__5_.logical_tile_io_mode_io__7.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="138" value="1" path="fpga_top.grid_io_top_4__5_.logical_tile_io_mode_io__6.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="137" value="1" path="fpga_top.grid_io_top_4__5_.logical_tile_io_mode_io__5.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="136" value="1" path="fpga_top.grid_io_top_4__5_.logical_tile_io_mode_io__4.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="135" value="1" path="fpga_top.grid_io_top_4__5_.logical_tile_io_mode_io__3.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="134" value="1" path="fpga_top.grid_io_top_4__5_.logical_tile_io_mode_io__2.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="133" value="1" path="fpga_top.grid_io_top_4__5_.logical_tile_io_mode_io__1.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="132" value="1" path="fpga_top.grid_io_top_4__5_.logical_tile_io_mode_io__0.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="131" value="0" path="fpga_top.cbx_4__4_.mem_top_ipin_2.mem_out[1]"> + </bit> + <bit id="130" value="0" path="fpga_top.cbx_4__4_.mem_top_ipin_2.mem_out[0]"> + </bit> + <bit id="129" value="0" path="fpga_top.cbx_4__4_.mem_top_ipin_1.mem_out[2]"> + </bit> + <bit id="128" value="0" path="fpga_top.cbx_4__4_.mem_top_ipin_1.mem_out[1]"> + </bit> + <bit id="127" value="0" path="fpga_top.cbx_4__4_.mem_top_ipin_1.mem_out[0]"> + </bit> + <bit id="126" value="0" path="fpga_top.cbx_4__4_.mem_top_ipin_0.mem_out[2]"> + </bit> + <bit id="125" value="0" path="fpga_top.cbx_4__4_.mem_top_ipin_0.mem_out[1]"> + </bit> + <bit id="124" value="0" path="fpga_top.cbx_4__4_.mem_top_ipin_0.mem_out[0]"> + </bit> + <bit id="123" value="0" path="fpga_top.cbx_4__4_.mem_bottom_ipin_7.mem_out[2]"> + </bit> + <bit id="122" value="0" path="fpga_top.cbx_4__4_.mem_bottom_ipin_7.mem_out[1]"> + </bit> + <bit id="121" value="0" path="fpga_top.cbx_4__4_.mem_bottom_ipin_7.mem_out[0]"> + </bit> + <bit id="120" value="0" path="fpga_top.cbx_4__4_.mem_bottom_ipin_6.mem_out[2]"> + </bit> + <bit id="119" value="0" path="fpga_top.cbx_4__4_.mem_bottom_ipin_6.mem_out[1]"> + </bit> + <bit id="118" value="0" path="fpga_top.cbx_4__4_.mem_bottom_ipin_6.mem_out[0]"> + </bit> + <bit id="117" value="0" path="fpga_top.cbx_4__4_.mem_bottom_ipin_5.mem_out[2]"> + </bit> + <bit id="116" value="0" path="fpga_top.cbx_4__4_.mem_bottom_ipin_5.mem_out[1]"> + </bit> + <bit id="115" value="0" path="fpga_top.cbx_4__4_.mem_bottom_ipin_5.mem_out[0]"> + </bit> + <bit id="114" value="0" path="fpga_top.cbx_4__4_.mem_bottom_ipin_4.mem_out[2]"> + </bit> + <bit id="113" value="0" path="fpga_top.cbx_4__4_.mem_bottom_ipin_4.mem_out[1]"> + </bit> + <bit id="112" value="0" path="fpga_top.cbx_4__4_.mem_bottom_ipin_4.mem_out[0]"> + </bit> + <bit id="111" value="0" path="fpga_top.cbx_4__4_.mem_bottom_ipin_3.mem_out[2]"> + </bit> + <bit id="110" value="0" path="fpga_top.cbx_4__4_.mem_bottom_ipin_3.mem_out[1]"> + </bit> + <bit id="109" value="0" path="fpga_top.cbx_4__4_.mem_bottom_ipin_3.mem_out[0]"> + </bit> + <bit id="108" value="0" path="fpga_top.cbx_4__4_.mem_bottom_ipin_2.mem_out[2]"> + </bit> + <bit id="107" value="0" path="fpga_top.cbx_4__4_.mem_bottom_ipin_2.mem_out[1]"> + </bit> + <bit id="106" value="0" path="fpga_top.cbx_4__4_.mem_bottom_ipin_2.mem_out[0]"> + </bit> + <bit id="105" value="0" path="fpga_top.cbx_4__4_.mem_bottom_ipin_1.mem_out[2]"> + </bit> + <bit id="104" value="0" path="fpga_top.cbx_4__4_.mem_bottom_ipin_1.mem_out[1]"> + </bit> + <bit id="103" value="0" path="fpga_top.cbx_4__4_.mem_bottom_ipin_1.mem_out[0]"> + </bit> + <bit id="102" value="0" path="fpga_top.cbx_4__4_.mem_bottom_ipin_0.mem_out[2]"> + </bit> + <bit id="101" value="0" path="fpga_top.cbx_4__4_.mem_bottom_ipin_0.mem_out[1]"> + </bit> + <bit id="100" value="0" path="fpga_top.cbx_4__4_.mem_bottom_ipin_0.mem_out[0]"> + </bit> + <bit id="99" value="0" path="fpga_top.sb_4__4_.mem_left_track_17.mem_out[1]"> + </bit> + <bit id="98" value="0" path="fpga_top.sb_4__4_.mem_left_track_17.mem_out[0]"> + </bit> + <bit id="97" value="0" path="fpga_top.sb_4__4_.mem_left_track_15.mem_out[1]"> + </bit> + <bit id="96" value="0" path="fpga_top.sb_4__4_.mem_left_track_15.mem_out[0]"> + </bit> + <bit id="95" value="0" path="fpga_top.sb_4__4_.mem_left_track_13.mem_out[1]"> + </bit> + <bit id="94" value="0" path="fpga_top.sb_4__4_.mem_left_track_13.mem_out[0]"> + </bit> + <bit id="93" value="0" path="fpga_top.sb_4__4_.mem_left_track_11.mem_out[1]"> + </bit> + <bit id="92" value="0" path="fpga_top.sb_4__4_.mem_left_track_11.mem_out[0]"> + </bit> + <bit id="91" value="0" path="fpga_top.sb_4__4_.mem_left_track_9.mem_out[1]"> + </bit> + <bit id="90" value="0" path="fpga_top.sb_4__4_.mem_left_track_9.mem_out[0]"> + </bit> + <bit id="89" value="0" path="fpga_top.sb_4__4_.mem_left_track_7.mem_out[1]"> + </bit> + <bit id="88" value="0" path="fpga_top.sb_4__4_.mem_left_track_7.mem_out[0]"> + </bit> + <bit id="87" value="0" path="fpga_top.sb_4__4_.mem_left_track_5.mem_out[1]"> + </bit> + <bit id="86" value="0" path="fpga_top.sb_4__4_.mem_left_track_5.mem_out[0]"> + </bit> + <bit id="85" value="0" path="fpga_top.sb_4__4_.mem_left_track_3.mem_out[1]"> + </bit> + <bit id="84" value="0" path="fpga_top.sb_4__4_.mem_left_track_3.mem_out[0]"> + </bit> + <bit id="83" value="0" path="fpga_top.sb_4__4_.mem_left_track_1.mem_out[1]"> + </bit> + <bit id="82" value="0" path="fpga_top.sb_4__4_.mem_left_track_1.mem_out[0]"> + </bit> + <bit id="81" value="0" path="fpga_top.sb_4__4_.mem_bottom_track_17.mem_out[1]"> + </bit> + <bit id="80" value="0" path="fpga_top.sb_4__4_.mem_bottom_track_17.mem_out[0]"> + </bit> + <bit id="79" value="0" path="fpga_top.sb_4__4_.mem_bottom_track_15.mem_out[1]"> + </bit> + <bit id="78" value="0" path="fpga_top.sb_4__4_.mem_bottom_track_15.mem_out[0]"> + </bit> + <bit id="77" value="0" path="fpga_top.sb_4__4_.mem_bottom_track_13.mem_out[1]"> + </bit> + <bit id="76" value="0" path="fpga_top.sb_4__4_.mem_bottom_track_13.mem_out[0]"> + </bit> + <bit id="75" value="0" path="fpga_top.sb_4__4_.mem_bottom_track_11.mem_out[1]"> + </bit> + <bit id="74" value="0" path="fpga_top.sb_4__4_.mem_bottom_track_11.mem_out[0]"> + </bit> + <bit id="73" value="0" path="fpga_top.sb_4__4_.mem_bottom_track_9.mem_out[1]"> + </bit> + <bit id="72" value="0" path="fpga_top.sb_4__4_.mem_bottom_track_9.mem_out[0]"> + </bit> + <bit id="71" value="0" path="fpga_top.sb_4__4_.mem_bottom_track_7.mem_out[1]"> + </bit> + <bit id="70" value="0" path="fpga_top.sb_4__4_.mem_bottom_track_7.mem_out[0]"> + </bit> + <bit id="69" value="0" path="fpga_top.sb_4__4_.mem_bottom_track_5.mem_out[1]"> + </bit> + <bit id="68" value="0" path="fpga_top.sb_4__4_.mem_bottom_track_5.mem_out[0]"> + </bit> + <bit id="67" value="0" path="fpga_top.sb_4__4_.mem_bottom_track_3.mem_out[1]"> + </bit> + <bit id="66" value="0" path="fpga_top.sb_4__4_.mem_bottom_track_3.mem_out[0]"> + </bit> + <bit id="65" value="0" path="fpga_top.sb_4__4_.mem_bottom_track_1.mem_out[1]"> + </bit> + <bit id="64" value="0" path="fpga_top.sb_4__4_.mem_bottom_track_1.mem_out[0]"> + </bit> + <bit id="63" value="1" path="fpga_top.grid_io_right_5__4_.logical_tile_io_mode_io__7.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="62" value="1" path="fpga_top.grid_io_right_5__4_.logical_tile_io_mode_io__6.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="61" value="1" path="fpga_top.grid_io_right_5__4_.logical_tile_io_mode_io__5.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="60" value="1" path="fpga_top.grid_io_right_5__4_.logical_tile_io_mode_io__4.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="59" value="1" path="fpga_top.grid_io_right_5__4_.logical_tile_io_mode_io__3.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="58" value="1" path="fpga_top.grid_io_right_5__4_.logical_tile_io_mode_io__2.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="57" value="1" path="fpga_top.grid_io_right_5__4_.logical_tile_io_mode_io__1.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="56" value="1" path="fpga_top.grid_io_right_5__4_.logical_tile_io_mode_io__0.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="55" value="1" path="fpga_top.grid_io_right_5__3_.logical_tile_io_mode_io__7.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="54" value="1" path="fpga_top.grid_io_right_5__3_.logical_tile_io_mode_io__6.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="53" value="1" path="fpga_top.grid_io_right_5__3_.logical_tile_io_mode_io__5.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="52" value="1" path="fpga_top.grid_io_right_5__3_.logical_tile_io_mode_io__4.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="51" value="1" path="fpga_top.grid_io_right_5__3_.logical_tile_io_mode_io__3.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="50" value="1" path="fpga_top.grid_io_right_5__3_.logical_tile_io_mode_io__2.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="49" value="1" path="fpga_top.grid_io_right_5__3_.logical_tile_io_mode_io__1.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="48" value="1" path="fpga_top.grid_io_right_5__3_.logical_tile_io_mode_io__0.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="47" value="1" path="fpga_top.grid_io_right_5__2_.logical_tile_io_mode_io__7.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="46" value="1" path="fpga_top.grid_io_right_5__2_.logical_tile_io_mode_io__6.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="45" value="1" path="fpga_top.grid_io_right_5__2_.logical_tile_io_mode_io__5.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="44" value="1" path="fpga_top.grid_io_right_5__2_.logical_tile_io_mode_io__4.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="43" value="1" path="fpga_top.grid_io_right_5__2_.logical_tile_io_mode_io__3.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="42" value="1" path="fpga_top.grid_io_right_5__2_.logical_tile_io_mode_io__2.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="41" value="1" path="fpga_top.grid_io_right_5__2_.logical_tile_io_mode_io__1.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="40" value="1" path="fpga_top.grid_io_right_5__2_.logical_tile_io_mode_io__0.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="39" value="1" path="fpga_top.grid_io_right_5__1_.logical_tile_io_mode_io__7.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="38" value="1" path="fpga_top.grid_io_right_5__1_.logical_tile_io_mode_io__6.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="37" value="1" path="fpga_top.grid_io_right_5__1_.logical_tile_io_mode_io__5.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="36" value="1" path="fpga_top.grid_io_right_5__1_.logical_tile_io_mode_io__4.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="35" value="1" path="fpga_top.grid_io_right_5__1_.logical_tile_io_mode_io__3.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="34" value="1" path="fpga_top.grid_io_right_5__1_.logical_tile_io_mode_io__2.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="33" value="1" path="fpga_top.grid_io_right_5__1_.logical_tile_io_mode_io__1.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="32" value="0" path="fpga_top.grid_io_right_5__1_.logical_tile_io_mode_io__0.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="31" value="1" path="fpga_top.grid_io_bottom_4__0_.logical_tile_io_mode_io__7.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="30" value="1" path="fpga_top.grid_io_bottom_4__0_.logical_tile_io_mode_io__6.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="29" value="1" path="fpga_top.grid_io_bottom_4__0_.logical_tile_io_mode_io__5.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="28" value="1" path="fpga_top.grid_io_bottom_4__0_.logical_tile_io_mode_io__4.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="27" value="1" path="fpga_top.grid_io_bottom_4__0_.logical_tile_io_mode_io__3.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="26" value="1" path="fpga_top.grid_io_bottom_4__0_.logical_tile_io_mode_io__2.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="25" value="1" path="fpga_top.grid_io_bottom_4__0_.logical_tile_io_mode_io__1.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="24" value="1" path="fpga_top.grid_io_bottom_4__0_.logical_tile_io_mode_io__0.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="23" value="1" path="fpga_top.grid_io_bottom_3__0_.logical_tile_io_mode_io__7.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="22" value="1" path="fpga_top.grid_io_bottom_3__0_.logical_tile_io_mode_io__6.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="21" value="1" path="fpga_top.grid_io_bottom_3__0_.logical_tile_io_mode_io__5.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="20" value="1" path="fpga_top.grid_io_bottom_3__0_.logical_tile_io_mode_io__4.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="19" value="1" path="fpga_top.grid_io_bottom_3__0_.logical_tile_io_mode_io__3.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="18" value="1" path="fpga_top.grid_io_bottom_3__0_.logical_tile_io_mode_io__2.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="17" value="1" path="fpga_top.grid_io_bottom_3__0_.logical_tile_io_mode_io__1.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="16" value="1" path="fpga_top.grid_io_bottom_3__0_.logical_tile_io_mode_io__0.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="15" value="1" path="fpga_top.grid_io_bottom_2__0_.logical_tile_io_mode_io__7.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="14" value="1" path="fpga_top.grid_io_bottom_2__0_.logical_tile_io_mode_io__6.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="13" value="1" path="fpga_top.grid_io_bottom_2__0_.logical_tile_io_mode_io__5.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="12" value="1" path="fpga_top.grid_io_bottom_2__0_.logical_tile_io_mode_io__4.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="11" value="1" path="fpga_top.grid_io_bottom_2__0_.logical_tile_io_mode_io__3.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="10" value="1" path="fpga_top.grid_io_bottom_2__0_.logical_tile_io_mode_io__2.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="9" value="1" path="fpga_top.grid_io_bottom_2__0_.logical_tile_io_mode_io__1.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="8" value="1" path="fpga_top.grid_io_bottom_2__0_.logical_tile_io_mode_io__0.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="7" value="1" path="fpga_top.grid_io_bottom_1__0_.logical_tile_io_mode_io__7.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="6" value="1" path="fpga_top.grid_io_bottom_1__0_.logical_tile_io_mode_io__6.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="5" value="1" path="fpga_top.grid_io_bottom_1__0_.logical_tile_io_mode_io__5.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="4" value="1" path="fpga_top.grid_io_bottom_1__0_.logical_tile_io_mode_io__4.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="3" value="1" path="fpga_top.grid_io_bottom_1__0_.logical_tile_io_mode_io__3.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="2" value="1" path="fpga_top.grid_io_bottom_1__0_.logical_tile_io_mode_io__2.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="1" value="1" path="fpga_top.grid_io_bottom_1__0_.logical_tile_io_mode_io__1.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + <bit id="0" value="1" path="fpga_top.grid_io_bottom_1__0_.logical_tile_io_mode_io__0.logical_tile_io_mode_physical__iopad_0.GPIO_DFF_mem.mem_out[0]"> + </bit> + </region> +</fabric_bitstream> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/fabric_independent_bitstream.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/fabric_independent_bitstream.xml new file mode 100644 index 000000000..e8fcf8ff4 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/fabric_independent_bitstream.xml @@ -0,0 +1,24233 @@ +<!-- + - Architecture independent bitstream + - Author: Xifan TANG + - Organization: University of Utah +--> + +<bitstream_block name="fpga_top" hierarchy_level="0"> + <bitstream_block name="grid_clb_1__1_" hierarchy_level="1"> + <bitstream_block name="logical_tile_clb_mode_clb__0" hierarchy_level="2"> + <bitstream_block name="logical_tile_clb_mode_default__fle_0" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_0"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_0"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_clb_mode_default__fle_1" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_1"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_1"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_clb_mode_default__fle_2" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_2"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_2"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_clb_mode_default__fle_3" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_3"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_3"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="grid_clb_1__2_" hierarchy_level="1"> + <bitstream_block name="logical_tile_clb_mode_clb__0" hierarchy_level="2"> + <bitstream_block name="logical_tile_clb_mode_default__fle_0" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_0"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_0"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_clb_mode_default__fle_1" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_1"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_1"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_clb_mode_default__fle_2" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_2"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_2"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_clb_mode_default__fle_3" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_3"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_3"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="grid_clb_1__3_" hierarchy_level="1"> + <bitstream_block name="logical_tile_clb_mode_clb__0" hierarchy_level="2"> + <bitstream_block name="logical_tile_clb_mode_default__fle_0" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_0"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_0"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_clb_mode_default__fle_1" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_1"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_1"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_clb_mode_default__fle_2" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_2"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_2"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_clb_mode_default__fle_3" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_3"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_3"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="grid_clb_1__4_" hierarchy_level="1"> + <bitstream_block name="logical_tile_clb_mode_clb__0" hierarchy_level="2"> + <bitstream_block name="logical_tile_clb_mode_default__fle_0" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_0"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_0"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_clb_mode_default__fle_1" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_1"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_1"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_clb_mode_default__fle_2" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_2"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_2"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_clb_mode_default__fle_3" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_3"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_3"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_1__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="grid_clb_2__1_" hierarchy_level="1"> + <bitstream_block name="logical_tile_clb_mode_clb__0" hierarchy_level="2"> + <bitstream_block name="logical_tile_clb_mode_default__fle_0" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_0"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_0"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_clb_mode_default__fle_1" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_1"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_1"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_clb_mode_default__fle_2" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_2"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_2"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_clb_mode_default__fle_3" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_3"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_3"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="grid_clb_2__2_" hierarchy_level="1"> + <bitstream_block name="logical_tile_clb_mode_clb__0" hierarchy_level="2"> + <bitstream_block name="logical_tile_clb_mode_default__fle_0" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_0"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_0"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_clb_mode_default__fle_1" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_1"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_1"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_clb_mode_default__fle_2" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_2"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_2"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_clb_mode_default__fle_3" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_3"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_3"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="grid_clb_2__3_" hierarchy_level="1"> + <bitstream_block name="logical_tile_clb_mode_clb__0" hierarchy_level="2"> + <bitstream_block name="logical_tile_clb_mode_default__fle_0" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_0"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_0"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_clb_mode_default__fle_1" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_1"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_1"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_clb_mode_default__fle_2" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_2"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_2"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_clb_mode_default__fle_3" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_3"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_3"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="grid_clb_2__4_" hierarchy_level="1"> + <bitstream_block name="logical_tile_clb_mode_clb__0" hierarchy_level="2"> + <bitstream_block name="logical_tile_clb_mode_default__fle_0" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_0"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_0"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_clb_mode_default__fle_1" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_1"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_1"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_clb_mode_default__fle_2" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_2"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_2"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_clb_mode_default__fle_3" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_3"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_3"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_2__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="grid_clb_3__1_" hierarchy_level="1"> + <bitstream_block name="logical_tile_clb_mode_clb__0" hierarchy_level="2"> + <bitstream_block name="logical_tile_clb_mode_default__fle_0" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_0"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_0"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_clb_mode_default__fle_1" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_1"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_1"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_clb_mode_default__fle_2" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_2"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_2"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_clb_mode_default__fle_3" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_3"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_3"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="grid_clb_3__2_" hierarchy_level="1"> + <bitstream_block name="logical_tile_clb_mode_clb__0" hierarchy_level="2"> + <bitstream_block name="logical_tile_clb_mode_default__fle_0" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_0"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_0"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_clb_mode_default__fle_1" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_1"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_1"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_clb_mode_default__fle_2" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_2"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_2"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_clb_mode_default__fle_3" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_3"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_3"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="grid_clb_3__3_" hierarchy_level="1"> + <bitstream_block name="logical_tile_clb_mode_clb__0" hierarchy_level="2"> + <bitstream_block name="logical_tile_clb_mode_default__fle_0" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_0"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_0"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_clb_mode_default__fle_1" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_1"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_1"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_clb_mode_default__fle_2" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_2"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_2"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_clb_mode_default__fle_3" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_3"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_3"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="grid_clb_3__4_" hierarchy_level="1"> + <bitstream_block name="logical_tile_clb_mode_clb__0" hierarchy_level="2"> + <bitstream_block name="logical_tile_clb_mode_default__fle_0" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_0"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_0"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_clb_mode_default__fle_1" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_1"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_1"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_clb_mode_default__fle_2" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_2"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_2"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_clb_mode_default__fle_3" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_3"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_3"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_3__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="grid_clb_4__1_" hierarchy_level="1"> + <bitstream_block name="logical_tile_clb_mode_clb__0" hierarchy_level="2"> + <bitstream_block name="logical_tile_clb_mode_default__fle_0" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_0"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_0"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_clb_mode_default__fle_1" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_1"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_1"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_clb_mode_default__fle_2" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_2"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_2"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_clb_mode_default__fle_3" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_3"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="1"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="1"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="1"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_3"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="c"/> + </input_nets> + <output_nets> + <path id="0" net_name="c"/> + </output_nets> + <bitstream path_id="1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="b"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="a"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + <path id="10" net_name="unmapped"/> + <path id="11" net_name="unmapped"/> + <path id="12" net_name="unmapped"/> + <path id="13" net_name="c"/> + </input_nets> + <output_nets> + <path id="0" net_name="a"/> + </output_nets> + <bitstream path_id="7"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="1"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__1_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_3"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="b"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="a"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + <path id="10" net_name="unmapped"/> + <path id="11" net_name="unmapped"/> + <path id="12" net_name="unmapped"/> + <path id="13" net_name="c"/> + </input_nets> + <output_nets> + <path id="0" net_name="b"/> + </output_nets> + <bitstream path_id="3"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="1"/> + <bit memory_port="mem_out[3]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="grid_clb_4__2_" hierarchy_level="1"> + <bitstream_block name="logical_tile_clb_mode_clb__0" hierarchy_level="2"> + <bitstream_block name="logical_tile_clb_mode_default__fle_0" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_0"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_0"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_clb_mode_default__fle_1" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_1"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_1"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_clb_mode_default__fle_2" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_2"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_2"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_clb_mode_default__fle_3" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_3"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_3"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__2_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="grid_clb_4__3_" hierarchy_level="1"> + <bitstream_block name="logical_tile_clb_mode_clb__0" hierarchy_level="2"> + <bitstream_block name="logical_tile_clb_mode_default__fle_0" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_0"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_0"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_clb_mode_default__fle_1" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_1"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_1"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_clb_mode_default__fle_2" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_2"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_2"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_clb_mode_default__fle_3" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_3"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_3"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__3_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="grid_clb_4__4_" hierarchy_level="1"> + <bitstream_block name="logical_tile_clb_mode_clb__0" hierarchy_level="2"> + <bitstream_block name="logical_tile_clb_mode_default__fle_0" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_0"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_0"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_clb_mode_default__fle_1" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_1"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_1"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_clb_mode_default__fle_2" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_2"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_2"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_clb_mode_default__fle_3" hierarchy_level="3"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0" hierarchy_level="4"> + <bitstream_block name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0" hierarchy_level="5"> + <bitstream_block name="lut4_DFF_mem" hierarchy_level="6"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_3"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0"/> + <instance level="6" name="lut4_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + <bit memory_port="mem_out[4]" value="0"/> + <bit memory_port="mem_out[5]" value="0"/> + <bit memory_port="mem_out[6]" value="0"/> + <bit memory_port="mem_out[7]" value="0"/> + <bit memory_port="mem_out[8]" value="0"/> + <bit memory_port="mem_out[9]" value="0"/> + <bit memory_port="mem_out[10]" value="0"/> + <bit memory_port="mem_out[11]" value="0"/> + <bit memory_port="mem_out[12]" value="0"/> + <bit memory_port="mem_out[13]" value="0"/> + <bit memory_port="mem_out[14]" value="0"/> + <bit memory_port="mem_out[15]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_ble4_out_0" hierarchy_level="5"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="logical_tile_clb_mode_default__fle_3"/> + <instance level="4" name="logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0"/> + <instance level="5" name="mem_ble4_out_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_0_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_0_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_1_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_1_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_2_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_2_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_0" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_0"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_1" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_1"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_2" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_2"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_fle_3_in_3" hierarchy_level="3"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_clb_4__4_"/> + <instance level="2" name="logical_tile_clb_mode_clb__0"/> + <instance level="3" name="mem_fle_3_in_3"/> + </hierarchy> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="grid_io_top_1__5_" hierarchy_level="1"> + <bitstream_block name="logical_tile_io_mode_io__0" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_top_1__5_"/> + <instance level="2" name="logical_tile_io_mode_io__0"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__1" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_top_1__5_"/> + <instance level="2" name="logical_tile_io_mode_io__1"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__2" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_top_1__5_"/> + <instance level="2" name="logical_tile_io_mode_io__2"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__3" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_top_1__5_"/> + <instance level="2" name="logical_tile_io_mode_io__3"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__4" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_top_1__5_"/> + <instance level="2" name="logical_tile_io_mode_io__4"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__5" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_top_1__5_"/> + <instance level="2" name="logical_tile_io_mode_io__5"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__6" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_top_1__5_"/> + <instance level="2" name="logical_tile_io_mode_io__6"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__7" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_top_1__5_"/> + <instance level="2" name="logical_tile_io_mode_io__7"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="grid_io_top_2__5_" hierarchy_level="1"> + <bitstream_block name="logical_tile_io_mode_io__0" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_top_2__5_"/> + <instance level="2" name="logical_tile_io_mode_io__0"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__1" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_top_2__5_"/> + <instance level="2" name="logical_tile_io_mode_io__1"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__2" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_top_2__5_"/> + <instance level="2" name="logical_tile_io_mode_io__2"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__3" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_top_2__5_"/> + <instance level="2" name="logical_tile_io_mode_io__3"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__4" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_top_2__5_"/> + <instance level="2" name="logical_tile_io_mode_io__4"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__5" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_top_2__5_"/> + <instance level="2" name="logical_tile_io_mode_io__5"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__6" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_top_2__5_"/> + <instance level="2" name="logical_tile_io_mode_io__6"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__7" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_top_2__5_"/> + <instance level="2" name="logical_tile_io_mode_io__7"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="grid_io_top_3__5_" hierarchy_level="1"> + <bitstream_block name="logical_tile_io_mode_io__0" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_top_3__5_"/> + <instance level="2" name="logical_tile_io_mode_io__0"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__1" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_top_3__5_"/> + <instance level="2" name="logical_tile_io_mode_io__1"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__2" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_top_3__5_"/> + <instance level="2" name="logical_tile_io_mode_io__2"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__3" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_top_3__5_"/> + <instance level="2" name="logical_tile_io_mode_io__3"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__4" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_top_3__5_"/> + <instance level="2" name="logical_tile_io_mode_io__4"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__5" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_top_3__5_"/> + <instance level="2" name="logical_tile_io_mode_io__5"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__6" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_top_3__5_"/> + <instance level="2" name="logical_tile_io_mode_io__6"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__7" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_top_3__5_"/> + <instance level="2" name="logical_tile_io_mode_io__7"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="grid_io_top_4__5_" hierarchy_level="1"> + <bitstream_block name="logical_tile_io_mode_io__0" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_top_4__5_"/> + <instance level="2" name="logical_tile_io_mode_io__0"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__1" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_top_4__5_"/> + <instance level="2" name="logical_tile_io_mode_io__1"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__2" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_top_4__5_"/> + <instance level="2" name="logical_tile_io_mode_io__2"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__3" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_top_4__5_"/> + <instance level="2" name="logical_tile_io_mode_io__3"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__4" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_top_4__5_"/> + <instance level="2" name="logical_tile_io_mode_io__4"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__5" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_top_4__5_"/> + <instance level="2" name="logical_tile_io_mode_io__5"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__6" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_top_4__5_"/> + <instance level="2" name="logical_tile_io_mode_io__6"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__7" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_top_4__5_"/> + <instance level="2" name="logical_tile_io_mode_io__7"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="grid_io_right_5__4_" hierarchy_level="1"> + <bitstream_block name="logical_tile_io_mode_io__0" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_right_5__4_"/> + <instance level="2" name="logical_tile_io_mode_io__0"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__1" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_right_5__4_"/> + <instance level="2" name="logical_tile_io_mode_io__1"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__2" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_right_5__4_"/> + <instance level="2" name="logical_tile_io_mode_io__2"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__3" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_right_5__4_"/> + <instance level="2" name="logical_tile_io_mode_io__3"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__4" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_right_5__4_"/> + <instance level="2" name="logical_tile_io_mode_io__4"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__5" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_right_5__4_"/> + <instance level="2" name="logical_tile_io_mode_io__5"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__6" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_right_5__4_"/> + <instance level="2" name="logical_tile_io_mode_io__6"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__7" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_right_5__4_"/> + <instance level="2" name="logical_tile_io_mode_io__7"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="grid_io_right_5__3_" hierarchy_level="1"> + <bitstream_block name="logical_tile_io_mode_io__0" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_right_5__3_"/> + <instance level="2" name="logical_tile_io_mode_io__0"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__1" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_right_5__3_"/> + <instance level="2" name="logical_tile_io_mode_io__1"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__2" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_right_5__3_"/> + <instance level="2" name="logical_tile_io_mode_io__2"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__3" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_right_5__3_"/> + <instance level="2" name="logical_tile_io_mode_io__3"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__4" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_right_5__3_"/> + <instance level="2" name="logical_tile_io_mode_io__4"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__5" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_right_5__3_"/> + <instance level="2" name="logical_tile_io_mode_io__5"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__6" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_right_5__3_"/> + <instance level="2" name="logical_tile_io_mode_io__6"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__7" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_right_5__3_"/> + <instance level="2" name="logical_tile_io_mode_io__7"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="grid_io_right_5__2_" hierarchy_level="1"> + <bitstream_block name="logical_tile_io_mode_io__0" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_right_5__2_"/> + <instance level="2" name="logical_tile_io_mode_io__0"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__1" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_right_5__2_"/> + <instance level="2" name="logical_tile_io_mode_io__1"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__2" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_right_5__2_"/> + <instance level="2" name="logical_tile_io_mode_io__2"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__3" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_right_5__2_"/> + <instance level="2" name="logical_tile_io_mode_io__3"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__4" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_right_5__2_"/> + <instance level="2" name="logical_tile_io_mode_io__4"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__5" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_right_5__2_"/> + <instance level="2" name="logical_tile_io_mode_io__5"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__6" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_right_5__2_"/> + <instance level="2" name="logical_tile_io_mode_io__6"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__7" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_right_5__2_"/> + <instance level="2" name="logical_tile_io_mode_io__7"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="grid_io_right_5__1_" hierarchy_level="1"> + <bitstream_block name="logical_tile_io_mode_io__0" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_right_5__1_"/> + <instance level="2" name="logical_tile_io_mode_io__0"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__1" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_right_5__1_"/> + <instance level="2" name="logical_tile_io_mode_io__1"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__2" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_right_5__1_"/> + <instance level="2" name="logical_tile_io_mode_io__2"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__3" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_right_5__1_"/> + <instance level="2" name="logical_tile_io_mode_io__3"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__4" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_right_5__1_"/> + <instance level="2" name="logical_tile_io_mode_io__4"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__5" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_right_5__1_"/> + <instance level="2" name="logical_tile_io_mode_io__5"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__6" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_right_5__1_"/> + <instance level="2" name="logical_tile_io_mode_io__6"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__7" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_right_5__1_"/> + <instance level="2" name="logical_tile_io_mode_io__7"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="grid_io_bottom_4__0_" hierarchy_level="1"> + <bitstream_block name="logical_tile_io_mode_io__0" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_bottom_4__0_"/> + <instance level="2" name="logical_tile_io_mode_io__0"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__1" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_bottom_4__0_"/> + <instance level="2" name="logical_tile_io_mode_io__1"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__2" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_bottom_4__0_"/> + <instance level="2" name="logical_tile_io_mode_io__2"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__3" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_bottom_4__0_"/> + <instance level="2" name="logical_tile_io_mode_io__3"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__4" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_bottom_4__0_"/> + <instance level="2" name="logical_tile_io_mode_io__4"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__5" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_bottom_4__0_"/> + <instance level="2" name="logical_tile_io_mode_io__5"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__6" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_bottom_4__0_"/> + <instance level="2" name="logical_tile_io_mode_io__6"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__7" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_bottom_4__0_"/> + <instance level="2" name="logical_tile_io_mode_io__7"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="grid_io_bottom_3__0_" hierarchy_level="1"> + <bitstream_block name="logical_tile_io_mode_io__0" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_bottom_3__0_"/> + <instance level="2" name="logical_tile_io_mode_io__0"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__1" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_bottom_3__0_"/> + <instance level="2" name="logical_tile_io_mode_io__1"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__2" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_bottom_3__0_"/> + <instance level="2" name="logical_tile_io_mode_io__2"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__3" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_bottom_3__0_"/> + <instance level="2" name="logical_tile_io_mode_io__3"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__4" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_bottom_3__0_"/> + <instance level="2" name="logical_tile_io_mode_io__4"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__5" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_bottom_3__0_"/> + <instance level="2" name="logical_tile_io_mode_io__5"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__6" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_bottom_3__0_"/> + <instance level="2" name="logical_tile_io_mode_io__6"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__7" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_bottom_3__0_"/> + <instance level="2" name="logical_tile_io_mode_io__7"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="grid_io_bottom_2__0_" hierarchy_level="1"> + <bitstream_block name="logical_tile_io_mode_io__0" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_bottom_2__0_"/> + <instance level="2" name="logical_tile_io_mode_io__0"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__1" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_bottom_2__0_"/> + <instance level="2" name="logical_tile_io_mode_io__1"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__2" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_bottom_2__0_"/> + <instance level="2" name="logical_tile_io_mode_io__2"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__3" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_bottom_2__0_"/> + <instance level="2" name="logical_tile_io_mode_io__3"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__4" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_bottom_2__0_"/> + <instance level="2" name="logical_tile_io_mode_io__4"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__5" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_bottom_2__0_"/> + <instance level="2" name="logical_tile_io_mode_io__5"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__6" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_bottom_2__0_"/> + <instance level="2" name="logical_tile_io_mode_io__6"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__7" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_bottom_2__0_"/> + <instance level="2" name="logical_tile_io_mode_io__7"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="grid_io_bottom_1__0_" hierarchy_level="1"> + <bitstream_block name="logical_tile_io_mode_io__0" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_bottom_1__0_"/> + <instance level="2" name="logical_tile_io_mode_io__0"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__1" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_bottom_1__0_"/> + <instance level="2" name="logical_tile_io_mode_io__1"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__2" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_bottom_1__0_"/> + <instance level="2" name="logical_tile_io_mode_io__2"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__3" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_bottom_1__0_"/> + <instance level="2" name="logical_tile_io_mode_io__3"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__4" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_bottom_1__0_"/> + <instance level="2" name="logical_tile_io_mode_io__4"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__5" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_bottom_1__0_"/> + <instance level="2" name="logical_tile_io_mode_io__5"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__6" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_bottom_1__0_"/> + <instance level="2" name="logical_tile_io_mode_io__6"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__7" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_bottom_1__0_"/> + <instance level="2" name="logical_tile_io_mode_io__7"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="grid_io_left_0__1_" hierarchy_level="1"> + <bitstream_block name="logical_tile_io_mode_io__0" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_left_0__1_"/> + <instance level="2" name="logical_tile_io_mode_io__0"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__1" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_left_0__1_"/> + <instance level="2" name="logical_tile_io_mode_io__1"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__2" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_left_0__1_"/> + <instance level="2" name="logical_tile_io_mode_io__2"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__3" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_left_0__1_"/> + <instance level="2" name="logical_tile_io_mode_io__3"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__4" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_left_0__1_"/> + <instance level="2" name="logical_tile_io_mode_io__4"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__5" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_left_0__1_"/> + <instance level="2" name="logical_tile_io_mode_io__5"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__6" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_left_0__1_"/> + <instance level="2" name="logical_tile_io_mode_io__6"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__7" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_left_0__1_"/> + <instance level="2" name="logical_tile_io_mode_io__7"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="grid_io_left_0__2_" hierarchy_level="1"> + <bitstream_block name="logical_tile_io_mode_io__0" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_left_0__2_"/> + <instance level="2" name="logical_tile_io_mode_io__0"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__1" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_left_0__2_"/> + <instance level="2" name="logical_tile_io_mode_io__1"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__2" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_left_0__2_"/> + <instance level="2" name="logical_tile_io_mode_io__2"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__3" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_left_0__2_"/> + <instance level="2" name="logical_tile_io_mode_io__3"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__4" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_left_0__2_"/> + <instance level="2" name="logical_tile_io_mode_io__4"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__5" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_left_0__2_"/> + <instance level="2" name="logical_tile_io_mode_io__5"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__6" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_left_0__2_"/> + <instance level="2" name="logical_tile_io_mode_io__6"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__7" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_left_0__2_"/> + <instance level="2" name="logical_tile_io_mode_io__7"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="grid_io_left_0__3_" hierarchy_level="1"> + <bitstream_block name="logical_tile_io_mode_io__0" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_left_0__3_"/> + <instance level="2" name="logical_tile_io_mode_io__0"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__1" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_left_0__3_"/> + <instance level="2" name="logical_tile_io_mode_io__1"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__2" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_left_0__3_"/> + <instance level="2" name="logical_tile_io_mode_io__2"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__3" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_left_0__3_"/> + <instance level="2" name="logical_tile_io_mode_io__3"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__4" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_left_0__3_"/> + <instance level="2" name="logical_tile_io_mode_io__4"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__5" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_left_0__3_"/> + <instance level="2" name="logical_tile_io_mode_io__5"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__6" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_left_0__3_"/> + <instance level="2" name="logical_tile_io_mode_io__6"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__7" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_left_0__3_"/> + <instance level="2" name="logical_tile_io_mode_io__7"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="grid_io_left_0__4_" hierarchy_level="1"> + <bitstream_block name="logical_tile_io_mode_io__0" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_left_0__4_"/> + <instance level="2" name="logical_tile_io_mode_io__0"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__1" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_left_0__4_"/> + <instance level="2" name="logical_tile_io_mode_io__1"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__2" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_left_0__4_"/> + <instance level="2" name="logical_tile_io_mode_io__2"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__3" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_left_0__4_"/> + <instance level="2" name="logical_tile_io_mode_io__3"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__4" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_left_0__4_"/> + <instance level="2" name="logical_tile_io_mode_io__4"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__5" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_left_0__4_"/> + <instance level="2" name="logical_tile_io_mode_io__5"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__6" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_left_0__4_"/> + <instance level="2" name="logical_tile_io_mode_io__6"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="logical_tile_io_mode_io__7" hierarchy_level="2"> + <bitstream_block name="logical_tile_io_mode_physical__iopad_0" hierarchy_level="3"> + <bitstream_block name="GPIO_DFF_mem" hierarchy_level="4"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="grid_io_left_0__4_"/> + <instance level="2" name="logical_tile_io_mode_io__7"/> + <instance level="3" name="logical_tile_io_mode_physical__iopad_0"/> + <instance level="4" name="GPIO_DFF_mem"/> + </hierarchy> + <bitstream> + <bit memory_port="mem_out[0]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + </bitstream_block> + </bitstream_block> + <bitstream_block name="sb_0__0_" hierarchy_level="1"> + <bitstream_block name="mem_top_track_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__0_"/> + <instance level="2" name="mem_top_track_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__0_"/> + <instance level="2" name="mem_top_track_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_4" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__0_"/> + <instance level="2" name="mem_top_track_4"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_6" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__0_"/> + <instance level="2" name="mem_top_track_6"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_8" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__0_"/> + <instance level="2" name="mem_top_track_8"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_10" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__0_"/> + <instance level="2" name="mem_top_track_10"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_12" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__0_"/> + <instance level="2" name="mem_top_track_12"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_14" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__0_"/> + <instance level="2" name="mem_top_track_14"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_16" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__0_"/> + <instance level="2" name="mem_top_track_16"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__0_"/> + <instance level="2" name="mem_right_track_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__0_"/> + <instance level="2" name="mem_right_track_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_4" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__0_"/> + <instance level="2" name="mem_right_track_4"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_6" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__0_"/> + <instance level="2" name="mem_right_track_6"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_8" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__0_"/> + <instance level="2" name="mem_right_track_8"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_10" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__0_"/> + <instance level="2" name="mem_right_track_10"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_12" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__0_"/> + <instance level="2" name="mem_right_track_12"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_14" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__0_"/> + <instance level="2" name="mem_right_track_14"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_16" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__0_"/> + <instance level="2" name="mem_right_track_16"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="sb_0__1_" hierarchy_level="1"> + <bitstream_block name="mem_top_track_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__1_"/> + <instance level="2" name="mem_top_track_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_8" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__1_"/> + <instance level="2" name="mem_top_track_8"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_16" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__1_"/> + <instance level="2" name="mem_top_track_16"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__1_"/> + <instance level="2" name="mem_right_track_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_4" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__1_"/> + <instance level="2" name="mem_right_track_4"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_6" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__1_"/> + <instance level="2" name="mem_right_track_6"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_8" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__1_"/> + <instance level="2" name="mem_right_track_8"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_10" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__1_"/> + <instance level="2" name="mem_right_track_10"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_12" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__1_"/> + <instance level="2" name="mem_right_track_12"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_14" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__1_"/> + <instance level="2" name="mem_right_track_14"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_16" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__1_"/> + <instance level="2" name="mem_right_track_16"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__1_"/> + <instance level="2" name="mem_bottom_track_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_9" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__1_"/> + <instance level="2" name="mem_bottom_track_9"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_17" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__1_"/> + <instance level="2" name="mem_bottom_track_17"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="sb_0__2_" hierarchy_level="1"> + <bitstream_block name="mem_top_track_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__2_"/> + <instance level="2" name="mem_top_track_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_8" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__2_"/> + <instance level="2" name="mem_top_track_8"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_16" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__2_"/> + <instance level="2" name="mem_top_track_16"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__2_"/> + <instance level="2" name="mem_right_track_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_4" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__2_"/> + <instance level="2" name="mem_right_track_4"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_6" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__2_"/> + <instance level="2" name="mem_right_track_6"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_8" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__2_"/> + <instance level="2" name="mem_right_track_8"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_10" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__2_"/> + <instance level="2" name="mem_right_track_10"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_12" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__2_"/> + <instance level="2" name="mem_right_track_12"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_14" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__2_"/> + <instance level="2" name="mem_right_track_14"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_16" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__2_"/> + <instance level="2" name="mem_right_track_16"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__2_"/> + <instance level="2" name="mem_bottom_track_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_9" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__2_"/> + <instance level="2" name="mem_bottom_track_9"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_17" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__2_"/> + <instance level="2" name="mem_bottom_track_17"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="sb_0__3_" hierarchy_level="1"> + <bitstream_block name="mem_top_track_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__3_"/> + <instance level="2" name="mem_top_track_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_8" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__3_"/> + <instance level="2" name="mem_top_track_8"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_16" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__3_"/> + <instance level="2" name="mem_top_track_16"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__3_"/> + <instance level="2" name="mem_right_track_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_4" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__3_"/> + <instance level="2" name="mem_right_track_4"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_6" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__3_"/> + <instance level="2" name="mem_right_track_6"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_8" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__3_"/> + <instance level="2" name="mem_right_track_8"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_10" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__3_"/> + <instance level="2" name="mem_right_track_10"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_12" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__3_"/> + <instance level="2" name="mem_right_track_12"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_14" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__3_"/> + <instance level="2" name="mem_right_track_14"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_16" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__3_"/> + <instance level="2" name="mem_right_track_16"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__3_"/> + <instance level="2" name="mem_bottom_track_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_9" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__3_"/> + <instance level="2" name="mem_bottom_track_9"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_17" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__3_"/> + <instance level="2" name="mem_bottom_track_17"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="sb_0__4_" hierarchy_level="1"> + <bitstream_block name="mem_right_track_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__4_"/> + <instance level="2" name="mem_right_track_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__4_"/> + <instance level="2" name="mem_right_track_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_4" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__4_"/> + <instance level="2" name="mem_right_track_4"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_6" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__4_"/> + <instance level="2" name="mem_right_track_6"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_8" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__4_"/> + <instance level="2" name="mem_right_track_8"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_10" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__4_"/> + <instance level="2" name="mem_right_track_10"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_12" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__4_"/> + <instance level="2" name="mem_right_track_12"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_14" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__4_"/> + <instance level="2" name="mem_right_track_14"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_16" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__4_"/> + <instance level="2" name="mem_right_track_16"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__4_"/> + <instance level="2" name="mem_bottom_track_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_3" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__4_"/> + <instance level="2" name="mem_bottom_track_3"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_5" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__4_"/> + <instance level="2" name="mem_bottom_track_5"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_7" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__4_"/> + <instance level="2" name="mem_bottom_track_7"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_9" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__4_"/> + <instance level="2" name="mem_bottom_track_9"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_11" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__4_"/> + <instance level="2" name="mem_bottom_track_11"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_13" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__4_"/> + <instance level="2" name="mem_bottom_track_13"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_15" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__4_"/> + <instance level="2" name="mem_bottom_track_15"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_17" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_0__4_"/> + <instance level="2" name="mem_bottom_track_17"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="sb_1__0_" hierarchy_level="1"> + <bitstream_block name="mem_top_track_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__0_"/> + <instance level="2" name="mem_top_track_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__0_"/> + <instance level="2" name="mem_top_track_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_8" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__0_"/> + <instance level="2" name="mem_top_track_8"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_10" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__0_"/> + <instance level="2" name="mem_top_track_10"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_16" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__0_"/> + <instance level="2" name="mem_top_track_16"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_18" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__0_"/> + <instance level="2" name="mem_top_track_18"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__0_"/> + <instance level="2" name="mem_right_track_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_8" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__0_"/> + <instance level="2" name="mem_right_track_8"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_16" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__0_"/> + <instance level="2" name="mem_right_track_16"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__0_"/> + <instance level="2" name="mem_left_track_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_9" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__0_"/> + <instance level="2" name="mem_left_track_9"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_17" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__0_"/> + <instance level="2" name="mem_left_track_17"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="sb_1__1_" hierarchy_level="1"> + <bitstream_block name="mem_top_track_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__1_"/> + <instance level="2" name="mem_top_track_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + <path id="10" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_8" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__1_"/> + <instance level="2" name="mem_top_track_8"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_16" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__1_"/> + <instance level="2" name="mem_top_track_16"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__1_"/> + <instance level="2" name="mem_right_track_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_8" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__1_"/> + <instance level="2" name="mem_right_track_8"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + <path id="10" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_16" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__1_"/> + <instance level="2" name="mem_right_track_16"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__1_"/> + <instance level="2" name="mem_bottom_track_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_9" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__1_"/> + <instance level="2" name="mem_bottom_track_9"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_17" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__1_"/> + <instance level="2" name="mem_bottom_track_17"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__1_"/> + <instance level="2" name="mem_left_track_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + <path id="10" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_9" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__1_"/> + <instance level="2" name="mem_left_track_9"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_17" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__1_"/> + <instance level="2" name="mem_left_track_17"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="sb_1__2_" hierarchy_level="1"> + <bitstream_block name="mem_top_track_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__2_"/> + <instance level="2" name="mem_top_track_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + <path id="10" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_8" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__2_"/> + <instance level="2" name="mem_top_track_8"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_16" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__2_"/> + <instance level="2" name="mem_top_track_16"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__2_"/> + <instance level="2" name="mem_right_track_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_8" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__2_"/> + <instance level="2" name="mem_right_track_8"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + <path id="10" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_16" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__2_"/> + <instance level="2" name="mem_right_track_16"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__2_"/> + <instance level="2" name="mem_bottom_track_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_9" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__2_"/> + <instance level="2" name="mem_bottom_track_9"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_17" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__2_"/> + <instance level="2" name="mem_bottom_track_17"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__2_"/> + <instance level="2" name="mem_left_track_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + <path id="10" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_9" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__2_"/> + <instance level="2" name="mem_left_track_9"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_17" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__2_"/> + <instance level="2" name="mem_left_track_17"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="sb_1__3_" hierarchy_level="1"> + <bitstream_block name="mem_top_track_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__3_"/> + <instance level="2" name="mem_top_track_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + <path id="10" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_8" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__3_"/> + <instance level="2" name="mem_top_track_8"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_16" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__3_"/> + <instance level="2" name="mem_top_track_16"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__3_"/> + <instance level="2" name="mem_right_track_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_8" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__3_"/> + <instance level="2" name="mem_right_track_8"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + <path id="10" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_16" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__3_"/> + <instance level="2" name="mem_right_track_16"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__3_"/> + <instance level="2" name="mem_bottom_track_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_9" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__3_"/> + <instance level="2" name="mem_bottom_track_9"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_17" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__3_"/> + <instance level="2" name="mem_bottom_track_17"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__3_"/> + <instance level="2" name="mem_left_track_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + <path id="10" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_9" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__3_"/> + <instance level="2" name="mem_left_track_9"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_17" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__3_"/> + <instance level="2" name="mem_left_track_17"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="sb_1__4_" hierarchy_level="1"> + <bitstream_block name="mem_right_track_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__4_"/> + <instance level="2" name="mem_right_track_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_8" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__4_"/> + <instance level="2" name="mem_right_track_8"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_16" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__4_"/> + <instance level="2" name="mem_right_track_16"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__4_"/> + <instance level="2" name="mem_bottom_track_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_3" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__4_"/> + <instance level="2" name="mem_bottom_track_3"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_5" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__4_"/> + <instance level="2" name="mem_bottom_track_5"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_7" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__4_"/> + <instance level="2" name="mem_bottom_track_7"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_9" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__4_"/> + <instance level="2" name="mem_bottom_track_9"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_11" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__4_"/> + <instance level="2" name="mem_bottom_track_11"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_13" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__4_"/> + <instance level="2" name="mem_bottom_track_13"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_15" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__4_"/> + <instance level="2" name="mem_bottom_track_15"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_17" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__4_"/> + <instance level="2" name="mem_bottom_track_17"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_19" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__4_"/> + <instance level="2" name="mem_bottom_track_19"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__4_"/> + <instance level="2" name="mem_left_track_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_9" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__4_"/> + <instance level="2" name="mem_left_track_9"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_17" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_1__4_"/> + <instance level="2" name="mem_left_track_17"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="sb_2__0_" hierarchy_level="1"> + <bitstream_block name="mem_top_track_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__0_"/> + <instance level="2" name="mem_top_track_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__0_"/> + <instance level="2" name="mem_top_track_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="b"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_8" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__0_"/> + <instance level="2" name="mem_top_track_8"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_10" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__0_"/> + <instance level="2" name="mem_top_track_10"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_16" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__0_"/> + <instance level="2" name="mem_top_track_16"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_18" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__0_"/> + <instance level="2" name="mem_top_track_18"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="a"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__0_"/> + <instance level="2" name="mem_right_track_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_8" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__0_"/> + <instance level="2" name="mem_right_track_8"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_16" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__0_"/> + <instance level="2" name="mem_right_track_16"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__0_"/> + <instance level="2" name="mem_left_track_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_9" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__0_"/> + <instance level="2" name="mem_left_track_9"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_17" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__0_"/> + <instance level="2" name="mem_left_track_17"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="sb_2__1_" hierarchy_level="1"> + <bitstream_block name="mem_top_track_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__1_"/> + <instance level="2" name="mem_top_track_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + <path id="10" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_8" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__1_"/> + <instance level="2" name="mem_top_track_8"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_16" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__1_"/> + <instance level="2" name="mem_top_track_16"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__1_"/> + <instance level="2" name="mem_right_track_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_8" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__1_"/> + <instance level="2" name="mem_right_track_8"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + <path id="10" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_16" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__1_"/> + <instance level="2" name="mem_right_track_16"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__1_"/> + <instance level="2" name="mem_bottom_track_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_9" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__1_"/> + <instance level="2" name="mem_bottom_track_9"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_17" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__1_"/> + <instance level="2" name="mem_bottom_track_17"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__1_"/> + <instance level="2" name="mem_left_track_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + <path id="10" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_9" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__1_"/> + <instance level="2" name="mem_left_track_9"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_17" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__1_"/> + <instance level="2" name="mem_left_track_17"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="sb_2__2_" hierarchy_level="1"> + <bitstream_block name="mem_top_track_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__2_"/> + <instance level="2" name="mem_top_track_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + <path id="10" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_8" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__2_"/> + <instance level="2" name="mem_top_track_8"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_16" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__2_"/> + <instance level="2" name="mem_top_track_16"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__2_"/> + <instance level="2" name="mem_right_track_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_8" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__2_"/> + <instance level="2" name="mem_right_track_8"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + <path id="10" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_16" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__2_"/> + <instance level="2" name="mem_right_track_16"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__2_"/> + <instance level="2" name="mem_bottom_track_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_9" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__2_"/> + <instance level="2" name="mem_bottom_track_9"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_17" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__2_"/> + <instance level="2" name="mem_bottom_track_17"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__2_"/> + <instance level="2" name="mem_left_track_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + <path id="10" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_9" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__2_"/> + <instance level="2" name="mem_left_track_9"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_17" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__2_"/> + <instance level="2" name="mem_left_track_17"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="sb_2__3_" hierarchy_level="1"> + <bitstream_block name="mem_top_track_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__3_"/> + <instance level="2" name="mem_top_track_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + <path id="10" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_8" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__3_"/> + <instance level="2" name="mem_top_track_8"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_16" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__3_"/> + <instance level="2" name="mem_top_track_16"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__3_"/> + <instance level="2" name="mem_right_track_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_8" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__3_"/> + <instance level="2" name="mem_right_track_8"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + <path id="10" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_16" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__3_"/> + <instance level="2" name="mem_right_track_16"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__3_"/> + <instance level="2" name="mem_bottom_track_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_9" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__3_"/> + <instance level="2" name="mem_bottom_track_9"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_17" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__3_"/> + <instance level="2" name="mem_bottom_track_17"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__3_"/> + <instance level="2" name="mem_left_track_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + <path id="10" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_9" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__3_"/> + <instance level="2" name="mem_left_track_9"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_17" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__3_"/> + <instance level="2" name="mem_left_track_17"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="sb_2__4_" hierarchy_level="1"> + <bitstream_block name="mem_right_track_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__4_"/> + <instance level="2" name="mem_right_track_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_8" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__4_"/> + <instance level="2" name="mem_right_track_8"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_16" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__4_"/> + <instance level="2" name="mem_right_track_16"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__4_"/> + <instance level="2" name="mem_bottom_track_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_3" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__4_"/> + <instance level="2" name="mem_bottom_track_3"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_5" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__4_"/> + <instance level="2" name="mem_bottom_track_5"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_7" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__4_"/> + <instance level="2" name="mem_bottom_track_7"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_9" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__4_"/> + <instance level="2" name="mem_bottom_track_9"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_11" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__4_"/> + <instance level="2" name="mem_bottom_track_11"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_13" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__4_"/> + <instance level="2" name="mem_bottom_track_13"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_15" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__4_"/> + <instance level="2" name="mem_bottom_track_15"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_17" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__4_"/> + <instance level="2" name="mem_bottom_track_17"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_19" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__4_"/> + <instance level="2" name="mem_bottom_track_19"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__4_"/> + <instance level="2" name="mem_left_track_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_9" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__4_"/> + <instance level="2" name="mem_left_track_9"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_17" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_2__4_"/> + <instance level="2" name="mem_left_track_17"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="sb_3__0_" hierarchy_level="1"> + <bitstream_block name="mem_top_track_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__0_"/> + <instance level="2" name="mem_top_track_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__0_"/> + <instance level="2" name="mem_top_track_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="a"/> + <path id="2" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="a"/> + </output_nets> + <bitstream path_id="1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="1"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_8" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__0_"/> + <instance level="2" name="mem_top_track_8"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_10" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__0_"/> + <instance level="2" name="mem_top_track_10"/> + </hierarchy> + <input_nets> + <path id="0" net_name="b"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="b"/> + </output_nets> + <bitstream path_id="0"> + <bit memory_port="mem_out[0]" value="1"/> + <bit memory_port="mem_out[1]" value="1"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_16" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__0_"/> + <instance level="2" name="mem_top_track_16"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_18" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__0_"/> + <instance level="2" name="mem_top_track_18"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__0_"/> + <instance level="2" name="mem_right_track_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_8" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__0_"/> + <instance level="2" name="mem_right_track_8"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_16" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__0_"/> + <instance level="2" name="mem_right_track_16"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="a"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="b"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__0_"/> + <instance level="2" name="mem_left_track_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="b"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_9" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__0_"/> + <instance level="2" name="mem_left_track_9"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_17" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__0_"/> + <instance level="2" name="mem_left_track_17"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="a"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="sb_3__1_" hierarchy_level="1"> + <bitstream_block name="mem_top_track_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__1_"/> + <instance level="2" name="mem_top_track_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + <path id="10" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_8" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__1_"/> + <instance level="2" name="mem_top_track_8"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="a"/> + <path id="5" net_name="b"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_16" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__1_"/> + <instance level="2" name="mem_top_track_16"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__1_"/> + <instance level="2" name="mem_right_track_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="a"/> + <path id="5" net_name="b"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_8" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__1_"/> + <instance level="2" name="mem_right_track_8"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + <path id="10" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_16" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__1_"/> + <instance level="2" name="mem_right_track_16"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__1_"/> + <instance level="2" name="mem_bottom_track_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_9" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__1_"/> + <instance level="2" name="mem_bottom_track_9"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_17" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__1_"/> + <instance level="2" name="mem_bottom_track_17"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__1_"/> + <instance level="2" name="mem_left_track_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + <path id="10" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_9" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__1_"/> + <instance level="2" name="mem_left_track_9"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_17" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__1_"/> + <instance level="2" name="mem_left_track_17"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="a"/> + <path id="6" net_name="b"/> + <path id="7" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="sb_3__2_" hierarchy_level="1"> + <bitstream_block name="mem_top_track_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__2_"/> + <instance level="2" name="mem_top_track_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + <path id="10" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_8" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__2_"/> + <instance level="2" name="mem_top_track_8"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_16" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__2_"/> + <instance level="2" name="mem_top_track_16"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="a"/> + <path id="5" net_name="b"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__2_"/> + <instance level="2" name="mem_right_track_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_8" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__2_"/> + <instance level="2" name="mem_right_track_8"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + <path id="10" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_16" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__2_"/> + <instance level="2" name="mem_right_track_16"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="a"/> + <path id="4" net_name="b"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__2_"/> + <instance level="2" name="mem_bottom_track_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_9" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__2_"/> + <instance level="2" name="mem_bottom_track_9"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_17" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__2_"/> + <instance level="2" name="mem_bottom_track_17"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__2_"/> + <instance level="2" name="mem_left_track_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="a"/> + <path id="8" net_name="b"/> + <path id="9" net_name="unmapped"/> + <path id="10" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_9" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__2_"/> + <instance level="2" name="mem_left_track_9"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_17" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__2_"/> + <instance level="2" name="mem_left_track_17"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="sb_3__3_" hierarchy_level="1"> + <bitstream_block name="mem_top_track_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__3_"/> + <instance level="2" name="mem_top_track_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + <path id="10" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_8" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__3_"/> + <instance level="2" name="mem_top_track_8"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_16" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__3_"/> + <instance level="2" name="mem_top_track_16"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__3_"/> + <instance level="2" name="mem_right_track_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="b"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_8" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__3_"/> + <instance level="2" name="mem_right_track_8"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="a"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + <path id="10" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_16" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__3_"/> + <instance level="2" name="mem_right_track_16"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__3_"/> + <instance level="2" name="mem_bottom_track_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_9" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__3_"/> + <instance level="2" name="mem_bottom_track_9"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_17" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__3_"/> + <instance level="2" name="mem_bottom_track_17"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__3_"/> + <instance level="2" name="mem_left_track_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + <path id="10" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_9" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__3_"/> + <instance level="2" name="mem_left_track_9"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="a"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_17" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__3_"/> + <instance level="2" name="mem_left_track_17"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="b"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="sb_3__4_" hierarchy_level="1"> + <bitstream_block name="mem_right_track_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__4_"/> + <instance level="2" name="mem_right_track_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_8" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__4_"/> + <instance level="2" name="mem_right_track_8"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_track_16" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__4_"/> + <instance level="2" name="mem_right_track_16"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__4_"/> + <instance level="2" name="mem_bottom_track_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_3" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__4_"/> + <instance level="2" name="mem_bottom_track_3"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_5" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__4_"/> + <instance level="2" name="mem_bottom_track_5"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_7" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__4_"/> + <instance level="2" name="mem_bottom_track_7"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_9" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__4_"/> + <instance level="2" name="mem_bottom_track_9"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_11" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__4_"/> + <instance level="2" name="mem_bottom_track_11"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_13" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__4_"/> + <instance level="2" name="mem_bottom_track_13"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_15" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__4_"/> + <instance level="2" name="mem_bottom_track_15"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_17" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__4_"/> + <instance level="2" name="mem_bottom_track_17"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_19" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__4_"/> + <instance level="2" name="mem_bottom_track_19"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__4_"/> + <instance level="2" name="mem_left_track_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_9" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__4_"/> + <instance level="2" name="mem_left_track_9"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_17" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_3__4_"/> + <instance level="2" name="mem_left_track_17"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="sb_4__0_" hierarchy_level="1"> + <bitstream_block name="mem_top_track_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__0_"/> + <instance level="2" name="mem_top_track_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="c"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="c"/> + </output_nets> + <bitstream path_id="0"> + <bit memory_port="mem_out[0]" value="1"/> + <bit memory_port="mem_out[1]" value="1"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__0_"/> + <instance level="2" name="mem_top_track_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_4" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__0_"/> + <instance level="2" name="mem_top_track_4"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_6" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__0_"/> + <instance level="2" name="mem_top_track_6"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_8" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__0_"/> + <instance level="2" name="mem_top_track_8"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_10" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__0_"/> + <instance level="2" name="mem_top_track_10"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_12" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__0_"/> + <instance level="2" name="mem_top_track_12"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_14" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__0_"/> + <instance level="2" name="mem_top_track_14"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_16" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__0_"/> + <instance level="2" name="mem_top_track_16"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__0_"/> + <instance level="2" name="mem_left_track_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_3" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__0_"/> + <instance level="2" name="mem_left_track_3"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_5" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__0_"/> + <instance level="2" name="mem_left_track_5"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="a"/> + </input_nets> + <output_nets> + <path id="0" net_name="a"/> + </output_nets> + <bitstream path_id="1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="1"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_7" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__0_"/> + <instance level="2" name="mem_left_track_7"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_9" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__0_"/> + <instance level="2" name="mem_left_track_9"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_11" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__0_"/> + <instance level="2" name="mem_left_track_11"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_13" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__0_"/> + <instance level="2" name="mem_left_track_13"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_15" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__0_"/> + <instance level="2" name="mem_left_track_15"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_17" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__0_"/> + <instance level="2" name="mem_left_track_17"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="b"/> + </input_nets> + <output_nets> + <path id="0" net_name="b"/> + </output_nets> + <bitstream path_id="1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="1"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="sb_4__1_" hierarchy_level="1"> + <bitstream_block name="mem_top_track_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__1_"/> + <instance level="2" name="mem_top_track_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="c"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_8" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__1_"/> + <instance level="2" name="mem_top_track_8"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_16" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__1_"/> + <instance level="2" name="mem_top_track_16"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__1_"/> + <instance level="2" name="mem_bottom_track_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_9" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__1_"/> + <instance level="2" name="mem_bottom_track_9"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_17" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__1_"/> + <instance level="2" name="mem_bottom_track_17"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="c"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__1_"/> + <instance level="2" name="mem_left_track_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_3" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__1_"/> + <instance level="2" name="mem_left_track_3"/> + </hierarchy> + <input_nets> + <path id="0" net_name="c"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_5" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__1_"/> + <instance level="2" name="mem_left_track_5"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_7" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__1_"/> + <instance level="2" name="mem_left_track_7"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_9" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__1_"/> + <instance level="2" name="mem_left_track_9"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_11" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__1_"/> + <instance level="2" name="mem_left_track_11"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_13" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__1_"/> + <instance level="2" name="mem_left_track_13"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_15" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__1_"/> + <instance level="2" name="mem_left_track_15"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_17" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__1_"/> + <instance level="2" name="mem_left_track_17"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_19" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__1_"/> + <instance level="2" name="mem_left_track_19"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="sb_4__2_" hierarchy_level="1"> + <bitstream_block name="mem_top_track_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__2_"/> + <instance level="2" name="mem_top_track_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_8" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__2_"/> + <instance level="2" name="mem_top_track_8"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="c"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_16" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__2_"/> + <instance level="2" name="mem_top_track_16"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__2_"/> + <instance level="2" name="mem_bottom_track_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_9" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__2_"/> + <instance level="2" name="mem_bottom_track_9"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_17" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__2_"/> + <instance level="2" name="mem_bottom_track_17"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__2_"/> + <instance level="2" name="mem_left_track_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_3" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__2_"/> + <instance level="2" name="mem_left_track_3"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_5" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__2_"/> + <instance level="2" name="mem_left_track_5"/> + </hierarchy> + <input_nets> + <path id="0" net_name="c"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_7" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__2_"/> + <instance level="2" name="mem_left_track_7"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_9" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__2_"/> + <instance level="2" name="mem_left_track_9"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_11" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__2_"/> + <instance level="2" name="mem_left_track_11"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_13" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__2_"/> + <instance level="2" name="mem_left_track_13"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_15" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__2_"/> + <instance level="2" name="mem_left_track_15"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_17" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__2_"/> + <instance level="2" name="mem_left_track_17"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_19" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__2_"/> + <instance level="2" name="mem_left_track_19"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="sb_4__3_" hierarchy_level="1"> + <bitstream_block name="mem_top_track_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__3_"/> + <instance level="2" name="mem_top_track_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + <path id="9" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_8" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__3_"/> + <instance level="2" name="mem_top_track_8"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_track_16" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__3_"/> + <instance level="2" name="mem_top_track_16"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="c"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__3_"/> + <instance level="2" name="mem_bottom_track_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_9" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__3_"/> + <instance level="2" name="mem_bottom_track_9"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_17" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__3_"/> + <instance level="2" name="mem_bottom_track_17"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + <path id="4" net_name="unmapped"/> + <path id="5" net_name="unmapped"/> + <path id="6" net_name="unmapped"/> + <path id="7" net_name="unmapped"/> + <path id="8" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + <bit memory_port="mem_out[3]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__3_"/> + <instance level="2" name="mem_left_track_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_3" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__3_"/> + <instance level="2" name="mem_left_track_3"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_5" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__3_"/> + <instance level="2" name="mem_left_track_5"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_7" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__3_"/> + <instance level="2" name="mem_left_track_7"/> + </hierarchy> + <input_nets> + <path id="0" net_name="c"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_9" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__3_"/> + <instance level="2" name="mem_left_track_9"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_11" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__3_"/> + <instance level="2" name="mem_left_track_11"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_13" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__3_"/> + <instance level="2" name="mem_left_track_13"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_15" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__3_"/> + <instance level="2" name="mem_left_track_15"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_17" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__3_"/> + <instance level="2" name="mem_left_track_17"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_19" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__3_"/> + <instance level="2" name="mem_left_track_19"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="sb_4__4_" hierarchy_level="1"> + <bitstream_block name="mem_bottom_track_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__4_"/> + <instance level="2" name="mem_bottom_track_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_3" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__4_"/> + <instance level="2" name="mem_bottom_track_3"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_5" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__4_"/> + <instance level="2" name="mem_bottom_track_5"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_7" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__4_"/> + <instance level="2" name="mem_bottom_track_7"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_9" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__4_"/> + <instance level="2" name="mem_bottom_track_9"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_11" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__4_"/> + <instance level="2" name="mem_bottom_track_11"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_13" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__4_"/> + <instance level="2" name="mem_bottom_track_13"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_15" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__4_"/> + <instance level="2" name="mem_bottom_track_15"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_track_17" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__4_"/> + <instance level="2" name="mem_bottom_track_17"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__4_"/> + <instance level="2" name="mem_left_track_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_3" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__4_"/> + <instance level="2" name="mem_left_track_3"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_5" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__4_"/> + <instance level="2" name="mem_left_track_5"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_7" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__4_"/> + <instance level="2" name="mem_left_track_7"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_9" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__4_"/> + <instance level="2" name="mem_left_track_9"/> + </hierarchy> + <input_nets> + <path id="0" net_name="c"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_11" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__4_"/> + <instance level="2" name="mem_left_track_11"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_13" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__4_"/> + <instance level="2" name="mem_left_track_13"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_15" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__4_"/> + <instance level="2" name="mem_left_track_15"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_track_17" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="sb_4__4_"/> + <instance level="2" name="mem_left_track_17"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="cbx_1__0_" hierarchy_level="1"> + <bitstream_block name="mem_bottom_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_1__0_"/> + <instance level="2" name="mem_bottom_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_1__0_"/> + <instance level="2" name="mem_bottom_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_1__0_"/> + <instance level="2" name="mem_bottom_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_1__0_"/> + <instance level="2" name="mem_top_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_1__0_"/> + <instance level="2" name="mem_top_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_1__0_"/> + <instance level="2" name="mem_top_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_3" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_1__0_"/> + <instance level="2" name="mem_top_ipin_3"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_4" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_1__0_"/> + <instance level="2" name="mem_top_ipin_4"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_5" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_1__0_"/> + <instance level="2" name="mem_top_ipin_5"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_6" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_1__0_"/> + <instance level="2" name="mem_top_ipin_6"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_7" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_1__0_"/> + <instance level="2" name="mem_top_ipin_7"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="cbx_1__1_" hierarchy_level="1"> + <bitstream_block name="mem_bottom_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_1__1_"/> + <instance level="2" name="mem_bottom_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_1__1_"/> + <instance level="2" name="mem_bottom_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_1__1_"/> + <instance level="2" name="mem_bottom_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_1__1_"/> + <instance level="2" name="mem_top_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_1__1_"/> + <instance level="2" name="mem_top_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_1__1_"/> + <instance level="2" name="mem_top_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="cbx_1__2_" hierarchy_level="1"> + <bitstream_block name="mem_bottom_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_1__2_"/> + <instance level="2" name="mem_bottom_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_1__2_"/> + <instance level="2" name="mem_bottom_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_1__2_"/> + <instance level="2" name="mem_bottom_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_1__2_"/> + <instance level="2" name="mem_top_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_1__2_"/> + <instance level="2" name="mem_top_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_1__2_"/> + <instance level="2" name="mem_top_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="cbx_1__3_" hierarchy_level="1"> + <bitstream_block name="mem_bottom_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_1__3_"/> + <instance level="2" name="mem_bottom_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_1__3_"/> + <instance level="2" name="mem_bottom_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_1__3_"/> + <instance level="2" name="mem_bottom_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_1__3_"/> + <instance level="2" name="mem_top_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_1__3_"/> + <instance level="2" name="mem_top_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_1__3_"/> + <instance level="2" name="mem_top_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="cbx_1__4_" hierarchy_level="1"> + <bitstream_block name="mem_bottom_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_1__4_"/> + <instance level="2" name="mem_bottom_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_1__4_"/> + <instance level="2" name="mem_bottom_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_1__4_"/> + <instance level="2" name="mem_bottom_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_3" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_1__4_"/> + <instance level="2" name="mem_bottom_ipin_3"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_4" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_1__4_"/> + <instance level="2" name="mem_bottom_ipin_4"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_5" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_1__4_"/> + <instance level="2" name="mem_bottom_ipin_5"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_6" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_1__4_"/> + <instance level="2" name="mem_bottom_ipin_6"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_7" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_1__4_"/> + <instance level="2" name="mem_bottom_ipin_7"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_1__4_"/> + <instance level="2" name="mem_top_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_1__4_"/> + <instance level="2" name="mem_top_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_1__4_"/> + <instance level="2" name="mem_top_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="cbx_2__0_" hierarchy_level="1"> + <bitstream_block name="mem_bottom_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_2__0_"/> + <instance level="2" name="mem_bottom_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_2__0_"/> + <instance level="2" name="mem_bottom_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_2__0_"/> + <instance level="2" name="mem_bottom_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_2__0_"/> + <instance level="2" name="mem_top_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_2__0_"/> + <instance level="2" name="mem_top_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_2__0_"/> + <instance level="2" name="mem_top_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_3" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_2__0_"/> + <instance level="2" name="mem_top_ipin_3"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_4" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_2__0_"/> + <instance level="2" name="mem_top_ipin_4"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_5" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_2__0_"/> + <instance level="2" name="mem_top_ipin_5"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_6" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_2__0_"/> + <instance level="2" name="mem_top_ipin_6"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_7" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_2__0_"/> + <instance level="2" name="mem_top_ipin_7"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="cbx_2__1_" hierarchy_level="1"> + <bitstream_block name="mem_bottom_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_2__1_"/> + <instance level="2" name="mem_bottom_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_2__1_"/> + <instance level="2" name="mem_bottom_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_2__1_"/> + <instance level="2" name="mem_bottom_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_2__1_"/> + <instance level="2" name="mem_top_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_2__1_"/> + <instance level="2" name="mem_top_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_2__1_"/> + <instance level="2" name="mem_top_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="cbx_2__2_" hierarchy_level="1"> + <bitstream_block name="mem_bottom_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_2__2_"/> + <instance level="2" name="mem_bottom_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_2__2_"/> + <instance level="2" name="mem_bottom_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_2__2_"/> + <instance level="2" name="mem_bottom_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_2__2_"/> + <instance level="2" name="mem_top_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_2__2_"/> + <instance level="2" name="mem_top_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_2__2_"/> + <instance level="2" name="mem_top_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="cbx_2__3_" hierarchy_level="1"> + <bitstream_block name="mem_bottom_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_2__3_"/> + <instance level="2" name="mem_bottom_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_2__3_"/> + <instance level="2" name="mem_bottom_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_2__3_"/> + <instance level="2" name="mem_bottom_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_2__3_"/> + <instance level="2" name="mem_top_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_2__3_"/> + <instance level="2" name="mem_top_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_2__3_"/> + <instance level="2" name="mem_top_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="cbx_2__4_" hierarchy_level="1"> + <bitstream_block name="mem_bottom_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_2__4_"/> + <instance level="2" name="mem_bottom_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_2__4_"/> + <instance level="2" name="mem_bottom_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_2__4_"/> + <instance level="2" name="mem_bottom_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_3" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_2__4_"/> + <instance level="2" name="mem_bottom_ipin_3"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_4" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_2__4_"/> + <instance level="2" name="mem_bottom_ipin_4"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_5" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_2__4_"/> + <instance level="2" name="mem_bottom_ipin_5"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_6" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_2__4_"/> + <instance level="2" name="mem_bottom_ipin_6"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_7" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_2__4_"/> + <instance level="2" name="mem_bottom_ipin_7"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_2__4_"/> + <instance level="2" name="mem_top_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_2__4_"/> + <instance level="2" name="mem_top_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_2__4_"/> + <instance level="2" name="mem_top_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="cbx_3__0_" hierarchy_level="1"> + <bitstream_block name="mem_bottom_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_3__0_"/> + <instance level="2" name="mem_bottom_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_3__0_"/> + <instance level="2" name="mem_bottom_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_3__0_"/> + <instance level="2" name="mem_bottom_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_3__0_"/> + <instance level="2" name="mem_top_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="a"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_3__0_"/> + <instance level="2" name="mem_top_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="b"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_3__0_"/> + <instance level="2" name="mem_top_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_3" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_3__0_"/> + <instance level="2" name="mem_top_ipin_3"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_4" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_3__0_"/> + <instance level="2" name="mem_top_ipin_4"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_5" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_3__0_"/> + <instance level="2" name="mem_top_ipin_5"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="a"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_6" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_3__0_"/> + <instance level="2" name="mem_top_ipin_6"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="b"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_7" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_3__0_"/> + <instance level="2" name="mem_top_ipin_7"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="cbx_3__1_" hierarchy_level="1"> + <bitstream_block name="mem_bottom_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_3__1_"/> + <instance level="2" name="mem_bottom_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_3__1_"/> + <instance level="2" name="mem_bottom_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_3__1_"/> + <instance level="2" name="mem_bottom_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_3__1_"/> + <instance level="2" name="mem_top_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_3__1_"/> + <instance level="2" name="mem_top_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_3__1_"/> + <instance level="2" name="mem_top_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="cbx_3__2_" hierarchy_level="1"> + <bitstream_block name="mem_bottom_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_3__2_"/> + <instance level="2" name="mem_bottom_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_3__2_"/> + <instance level="2" name="mem_bottom_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_3__2_"/> + <instance level="2" name="mem_bottom_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_3__2_"/> + <instance level="2" name="mem_top_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_3__2_"/> + <instance level="2" name="mem_top_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_3__2_"/> + <instance level="2" name="mem_top_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="cbx_3__3_" hierarchy_level="1"> + <bitstream_block name="mem_bottom_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_3__3_"/> + <instance level="2" name="mem_bottom_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_3__3_"/> + <instance level="2" name="mem_bottom_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_3__3_"/> + <instance level="2" name="mem_bottom_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_3__3_"/> + <instance level="2" name="mem_top_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_3__3_"/> + <instance level="2" name="mem_top_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_3__3_"/> + <instance level="2" name="mem_top_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="cbx_3__4_" hierarchy_level="1"> + <bitstream_block name="mem_bottom_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_3__4_"/> + <instance level="2" name="mem_bottom_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_3__4_"/> + <instance level="2" name="mem_bottom_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_3__4_"/> + <instance level="2" name="mem_bottom_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_3" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_3__4_"/> + <instance level="2" name="mem_bottom_ipin_3"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_4" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_3__4_"/> + <instance level="2" name="mem_bottom_ipin_4"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_5" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_3__4_"/> + <instance level="2" name="mem_bottom_ipin_5"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_6" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_3__4_"/> + <instance level="2" name="mem_bottom_ipin_6"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_7" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_3__4_"/> + <instance level="2" name="mem_bottom_ipin_7"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_3__4_"/> + <instance level="2" name="mem_top_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_3__4_"/> + <instance level="2" name="mem_top_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_3__4_"/> + <instance level="2" name="mem_top_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="cbx_4__0_" hierarchy_level="1"> + <bitstream_block name="mem_bottom_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_4__0_"/> + <instance level="2" name="mem_bottom_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_4__0_"/> + <instance level="2" name="mem_bottom_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_4__0_"/> + <instance level="2" name="mem_bottom_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="a"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_4__0_"/> + <instance level="2" name="mem_top_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="b"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_4__0_"/> + <instance level="2" name="mem_top_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_4__0_"/> + <instance level="2" name="mem_top_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_3" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_4__0_"/> + <instance level="2" name="mem_top_ipin_3"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_4" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_4__0_"/> + <instance level="2" name="mem_top_ipin_4"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="a"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_5" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_4__0_"/> + <instance level="2" name="mem_top_ipin_5"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="b"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_6" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_4__0_"/> + <instance level="2" name="mem_top_ipin_6"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_7" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_4__0_"/> + <instance level="2" name="mem_top_ipin_7"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="cbx_4__1_" hierarchy_level="1"> + <bitstream_block name="mem_bottom_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_4__1_"/> + <instance level="2" name="mem_bottom_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_4__1_"/> + <instance level="2" name="mem_bottom_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_4__1_"/> + <instance level="2" name="mem_bottom_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_4__1_"/> + <instance level="2" name="mem_top_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_4__1_"/> + <instance level="2" name="mem_top_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_4__1_"/> + <instance level="2" name="mem_top_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="cbx_4__2_" hierarchy_level="1"> + <bitstream_block name="mem_bottom_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_4__2_"/> + <instance level="2" name="mem_bottom_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_4__2_"/> + <instance level="2" name="mem_bottom_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_4__2_"/> + <instance level="2" name="mem_bottom_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_4__2_"/> + <instance level="2" name="mem_top_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_4__2_"/> + <instance level="2" name="mem_top_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_4__2_"/> + <instance level="2" name="mem_top_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="cbx_4__3_" hierarchy_level="1"> + <bitstream_block name="mem_bottom_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_4__3_"/> + <instance level="2" name="mem_bottom_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_4__3_"/> + <instance level="2" name="mem_bottom_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_4__3_"/> + <instance level="2" name="mem_bottom_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_4__3_"/> + <instance level="2" name="mem_top_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_4__3_"/> + <instance level="2" name="mem_top_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_4__3_"/> + <instance level="2" name="mem_top_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="cbx_4__4_" hierarchy_level="1"> + <bitstream_block name="mem_bottom_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_4__4_"/> + <instance level="2" name="mem_bottom_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_4__4_"/> + <instance level="2" name="mem_bottom_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_4__4_"/> + <instance level="2" name="mem_bottom_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_3" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_4__4_"/> + <instance level="2" name="mem_bottom_ipin_3"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_4" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_4__4_"/> + <instance level="2" name="mem_bottom_ipin_4"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_5" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_4__4_"/> + <instance level="2" name="mem_bottom_ipin_5"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_6" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_4__4_"/> + <instance level="2" name="mem_bottom_ipin_6"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_bottom_ipin_7" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_4__4_"/> + <instance level="2" name="mem_bottom_ipin_7"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_4__4_"/> + <instance level="2" name="mem_top_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_4__4_"/> + <instance level="2" name="mem_top_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_top_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cbx_4__4_"/> + <instance level="2" name="mem_top_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="cby_0__1_" hierarchy_level="1"> + <bitstream_block name="mem_left_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_0__1_"/> + <instance level="2" name="mem_left_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_0__1_"/> + <instance level="2" name="mem_left_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_0__1_"/> + <instance level="2" name="mem_right_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_0__1_"/> + <instance level="2" name="mem_right_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_0__1_"/> + <instance level="2" name="mem_right_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_3" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_0__1_"/> + <instance level="2" name="mem_right_ipin_3"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_4" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_0__1_"/> + <instance level="2" name="mem_right_ipin_4"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_5" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_0__1_"/> + <instance level="2" name="mem_right_ipin_5"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_6" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_0__1_"/> + <instance level="2" name="mem_right_ipin_6"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_7" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_0__1_"/> + <instance level="2" name="mem_right_ipin_7"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="cby_0__2_" hierarchy_level="1"> + <bitstream_block name="mem_left_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_0__2_"/> + <instance level="2" name="mem_left_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_0__2_"/> + <instance level="2" name="mem_left_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_0__2_"/> + <instance level="2" name="mem_right_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_0__2_"/> + <instance level="2" name="mem_right_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_0__2_"/> + <instance level="2" name="mem_right_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_3" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_0__2_"/> + <instance level="2" name="mem_right_ipin_3"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_4" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_0__2_"/> + <instance level="2" name="mem_right_ipin_4"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_5" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_0__2_"/> + <instance level="2" name="mem_right_ipin_5"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_6" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_0__2_"/> + <instance level="2" name="mem_right_ipin_6"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_7" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_0__2_"/> + <instance level="2" name="mem_right_ipin_7"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="cby_0__3_" hierarchy_level="1"> + <bitstream_block name="mem_left_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_0__3_"/> + <instance level="2" name="mem_left_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_0__3_"/> + <instance level="2" name="mem_left_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_0__3_"/> + <instance level="2" name="mem_right_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_0__3_"/> + <instance level="2" name="mem_right_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_0__3_"/> + <instance level="2" name="mem_right_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_3" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_0__3_"/> + <instance level="2" name="mem_right_ipin_3"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_4" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_0__3_"/> + <instance level="2" name="mem_right_ipin_4"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_5" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_0__3_"/> + <instance level="2" name="mem_right_ipin_5"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_6" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_0__3_"/> + <instance level="2" name="mem_right_ipin_6"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_7" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_0__3_"/> + <instance level="2" name="mem_right_ipin_7"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="cby_0__4_" hierarchy_level="1"> + <bitstream_block name="mem_left_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_0__4_"/> + <instance level="2" name="mem_left_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_0__4_"/> + <instance level="2" name="mem_left_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_0__4_"/> + <instance level="2" name="mem_right_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_0__4_"/> + <instance level="2" name="mem_right_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_0__4_"/> + <instance level="2" name="mem_right_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_3" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_0__4_"/> + <instance level="2" name="mem_right_ipin_3"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_4" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_0__4_"/> + <instance level="2" name="mem_right_ipin_4"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_5" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_0__4_"/> + <instance level="2" name="mem_right_ipin_5"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_6" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_0__4_"/> + <instance level="2" name="mem_right_ipin_6"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_7" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_0__4_"/> + <instance level="2" name="mem_right_ipin_7"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="cby_1__1_" hierarchy_level="1"> + <bitstream_block name="mem_left_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_1__1_"/> + <instance level="2" name="mem_left_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_1__1_"/> + <instance level="2" name="mem_left_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_1__1_"/> + <instance level="2" name="mem_right_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_1__1_"/> + <instance level="2" name="mem_right_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_1__1_"/> + <instance level="2" name="mem_right_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="cby_1__2_" hierarchy_level="1"> + <bitstream_block name="mem_left_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_1__2_"/> + <instance level="2" name="mem_left_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_1__2_"/> + <instance level="2" name="mem_left_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_1__2_"/> + <instance level="2" name="mem_right_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_1__2_"/> + <instance level="2" name="mem_right_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_1__2_"/> + <instance level="2" name="mem_right_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="cby_1__3_" hierarchy_level="1"> + <bitstream_block name="mem_left_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_1__3_"/> + <instance level="2" name="mem_left_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_1__3_"/> + <instance level="2" name="mem_left_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_1__3_"/> + <instance level="2" name="mem_right_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_1__3_"/> + <instance level="2" name="mem_right_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_1__3_"/> + <instance level="2" name="mem_right_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="cby_1__4_" hierarchy_level="1"> + <bitstream_block name="mem_left_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_1__4_"/> + <instance level="2" name="mem_left_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_1__4_"/> + <instance level="2" name="mem_left_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_1__4_"/> + <instance level="2" name="mem_right_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_1__4_"/> + <instance level="2" name="mem_right_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_1__4_"/> + <instance level="2" name="mem_right_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="cby_2__1_" hierarchy_level="1"> + <bitstream_block name="mem_left_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_2__1_"/> + <instance level="2" name="mem_left_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_2__1_"/> + <instance level="2" name="mem_left_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_2__1_"/> + <instance level="2" name="mem_right_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_2__1_"/> + <instance level="2" name="mem_right_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_2__1_"/> + <instance level="2" name="mem_right_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="cby_2__2_" hierarchy_level="1"> + <bitstream_block name="mem_left_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_2__2_"/> + <instance level="2" name="mem_left_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_2__2_"/> + <instance level="2" name="mem_left_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_2__2_"/> + <instance level="2" name="mem_right_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_2__2_"/> + <instance level="2" name="mem_right_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_2__2_"/> + <instance level="2" name="mem_right_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="cby_2__3_" hierarchy_level="1"> + <bitstream_block name="mem_left_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_2__3_"/> + <instance level="2" name="mem_left_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_2__3_"/> + <instance level="2" name="mem_left_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_2__3_"/> + <instance level="2" name="mem_right_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_2__3_"/> + <instance level="2" name="mem_right_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_2__3_"/> + <instance level="2" name="mem_right_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="cby_2__4_" hierarchy_level="1"> + <bitstream_block name="mem_left_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_2__4_"/> + <instance level="2" name="mem_left_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_2__4_"/> + <instance level="2" name="mem_left_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_2__4_"/> + <instance level="2" name="mem_right_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_2__4_"/> + <instance level="2" name="mem_right_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_2__4_"/> + <instance level="2" name="mem_right_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="cby_3__1_" hierarchy_level="1"> + <bitstream_block name="mem_left_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_3__1_"/> + <instance level="2" name="mem_left_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="b"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="b"/> + </output_nets> + <bitstream path_id="2"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="1"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_3__1_"/> + <instance level="2" name="mem_left_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="a"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="a"/> + </output_nets> + <bitstream path_id="0"> + <bit memory_port="mem_out[0]" value="1"/> + <bit memory_port="mem_out[1]" value="1"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_3__1_"/> + <instance level="2" name="mem_right_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_3__1_"/> + <instance level="2" name="mem_right_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_3__1_"/> + <instance level="2" name="mem_right_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="cby_3__2_" hierarchy_level="1"> + <bitstream_block name="mem_left_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_3__2_"/> + <instance level="2" name="mem_left_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_3__2_"/> + <instance level="2" name="mem_left_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_3__2_"/> + <instance level="2" name="mem_right_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="a"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_3__2_"/> + <instance level="2" name="mem_right_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_3__2_"/> + <instance level="2" name="mem_right_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="cby_3__3_" hierarchy_level="1"> + <bitstream_block name="mem_left_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_3__3_"/> + <instance level="2" name="mem_left_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_3__3_"/> + <instance level="2" name="mem_left_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_3__3_"/> + <instance level="2" name="mem_right_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="b"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_3__3_"/> + <instance level="2" name="mem_right_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="a"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_3__3_"/> + <instance level="2" name="mem_right_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="cby_3__4_" hierarchy_level="1"> + <bitstream_block name="mem_left_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_3__4_"/> + <instance level="2" name="mem_left_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_3__4_"/> + <instance level="2" name="mem_left_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_3__4_"/> + <instance level="2" name="mem_right_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_3__4_"/> + <instance level="2" name="mem_right_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_3__4_"/> + <instance level="2" name="mem_right_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="cby_4__1_" hierarchy_level="1"> + <bitstream_block name="mem_left_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_4__1_"/> + <instance level="2" name="mem_left_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="c"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="c"/> + </output_nets> + <bitstream path_id="0"> + <bit memory_port="mem_out[0]" value="1"/> + <bit memory_port="mem_out[1]" value="1"/> + <bit memory_port="mem_out[2]" value="1"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_4__1_"/> + <instance level="2" name="mem_left_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_4__1_"/> + <instance level="2" name="mem_left_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_ipin_3" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_4__1_"/> + <instance level="2" name="mem_left_ipin_3"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_ipin_4" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_4__1_"/> + <instance level="2" name="mem_left_ipin_4"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_ipin_5" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_4__1_"/> + <instance level="2" name="mem_left_ipin_5"/> + </hierarchy> + <input_nets> + <path id="0" net_name="c"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_ipin_6" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_4__1_"/> + <instance level="2" name="mem_left_ipin_6"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_ipin_7" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_4__1_"/> + <instance level="2" name="mem_left_ipin_7"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_4__1_"/> + <instance level="2" name="mem_right_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_4__1_"/> + <instance level="2" name="mem_right_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_4__1_"/> + <instance level="2" name="mem_right_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="c"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="cby_4__2_" hierarchy_level="1"> + <bitstream_block name="mem_left_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_4__2_"/> + <instance level="2" name="mem_left_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_4__2_"/> + <instance level="2" name="mem_left_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="c"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_4__2_"/> + <instance level="2" name="mem_left_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_ipin_3" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_4__2_"/> + <instance level="2" name="mem_left_ipin_3"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_ipin_4" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_4__2_"/> + <instance level="2" name="mem_left_ipin_4"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_ipin_5" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_4__2_"/> + <instance level="2" name="mem_left_ipin_5"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_ipin_6" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_4__2_"/> + <instance level="2" name="mem_left_ipin_6"/> + </hierarchy> + <input_nets> + <path id="0" net_name="c"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_ipin_7" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_4__2_"/> + <instance level="2" name="mem_left_ipin_7"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_4__2_"/> + <instance level="2" name="mem_right_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_4__2_"/> + <instance level="2" name="mem_right_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_4__2_"/> + <instance level="2" name="mem_right_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="cby_4__3_" hierarchy_level="1"> + <bitstream_block name="mem_left_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_4__3_"/> + <instance level="2" name="mem_left_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_4__3_"/> + <instance level="2" name="mem_left_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_4__3_"/> + <instance level="2" name="mem_left_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="c"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_ipin_3" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_4__3_"/> + <instance level="2" name="mem_left_ipin_3"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_ipin_4" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_4__3_"/> + <instance level="2" name="mem_left_ipin_4"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_ipin_5" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_4__3_"/> + <instance level="2" name="mem_left_ipin_5"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_ipin_6" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_4__3_"/> + <instance level="2" name="mem_left_ipin_6"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_ipin_7" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_4__3_"/> + <instance level="2" name="mem_left_ipin_7"/> + </hierarchy> + <input_nets> + <path id="0" net_name="c"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_4__3_"/> + <instance level="2" name="mem_right_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_4__3_"/> + <instance level="2" name="mem_right_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_4__3_"/> + <instance level="2" name="mem_right_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> + <bitstream_block name="cby_4__4_" hierarchy_level="1"> + <bitstream_block name="mem_left_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_4__4_"/> + <instance level="2" name="mem_left_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_4__4_"/> + <instance level="2" name="mem_left_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_4__4_"/> + <instance level="2" name="mem_left_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_ipin_3" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_4__4_"/> + <instance level="2" name="mem_left_ipin_3"/> + </hierarchy> + <input_nets> + <path id="0" net_name="c"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_ipin_4" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_4__4_"/> + <instance level="2" name="mem_left_ipin_4"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_ipin_5" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_4__4_"/> + <instance level="2" name="mem_left_ipin_5"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_ipin_6" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_4__4_"/> + <instance level="2" name="mem_left_ipin_6"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_left_ipin_7" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_4__4_"/> + <instance level="2" name="mem_left_ipin_7"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_0" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_4__4_"/> + <instance level="2" name="mem_right_ipin_0"/> + </hierarchy> + <input_nets> + <path id="0" net_name="c"/> + <path id="1" net_name="unmapped"/> + <path id="2" net_name="unmapped"/> + <path id="3" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + <bit memory_port="mem_out[2]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_1" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_4__4_"/> + <instance level="2" name="mem_right_ipin_1"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + <bitstream_block name="mem_right_ipin_2" hierarchy_level="2"> + <hierarchy> + <instance level="0" name="fpga_top"/> + <instance level="1" name="cby_4__4_"/> + <instance level="2" name="mem_right_ipin_2"/> + </hierarchy> + <input_nets> + <path id="0" net_name="unmapped"/> + <path id="1" net_name="unmapped"/> + </input_nets> + <output_nets> + <path id="0" net_name="unmapped"/> + </output_nets> + <bitstream path_id="-1"> + <bit memory_port="mem_out[0]" value="0"/> + <bit memory_port="mem_out[1]" value="0"/> + </bitstream> + </bitstream_block> + </bitstream_block> +</bitstream_block> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/fabric_io_location.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/fabric_io_location.xml new file mode 100644 index 000000000..21d8f0b92 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/fabric_io_location.xml @@ -0,0 +1,135 @@ +<!-- + - FPGA Fabric I/O Information + - Generated by OpenFPGA +--> + +<io_coordinates> + <io pad="gfpga_pad_GPIO_PAD[96]" x="0" y="1" z="0"/> + <io pad="gfpga_pad_GPIO_PAD[97]" x="0" y="1" z="1"/> + <io pad="gfpga_pad_GPIO_PAD[98]" x="0" y="1" z="2"/> + <io pad="gfpga_pad_GPIO_PAD[99]" x="0" y="1" z="3"/> + <io pad="gfpga_pad_GPIO_PAD[100]" x="0" y="1" z="4"/> + <io pad="gfpga_pad_GPIO_PAD[101]" x="0" y="1" z="5"/> + <io pad="gfpga_pad_GPIO_PAD[102]" x="0" y="1" z="6"/> + <io pad="gfpga_pad_GPIO_PAD[103]" x="0" y="1" z="7"/> + <io pad="gfpga_pad_GPIO_PAD[104]" x="0" y="2" z="0"/> + <io pad="gfpga_pad_GPIO_PAD[105]" x="0" y="2" z="1"/> + <io pad="gfpga_pad_GPIO_PAD[106]" x="0" y="2" z="2"/> + <io pad="gfpga_pad_GPIO_PAD[107]" x="0" y="2" z="3"/> + <io pad="gfpga_pad_GPIO_PAD[108]" x="0" y="2" z="4"/> + <io pad="gfpga_pad_GPIO_PAD[109]" x="0" y="2" z="5"/> + <io pad="gfpga_pad_GPIO_PAD[110]" x="0" y="2" z="6"/> + <io pad="gfpga_pad_GPIO_PAD[111]" x="0" y="2" z="7"/> + <io pad="gfpga_pad_GPIO_PAD[112]" x="0" y="3" z="0"/> + <io pad="gfpga_pad_GPIO_PAD[113]" x="0" y="3" z="1"/> + <io pad="gfpga_pad_GPIO_PAD[114]" x="0" y="3" z="2"/> + <io pad="gfpga_pad_GPIO_PAD[115]" x="0" y="3" z="3"/> + <io pad="gfpga_pad_GPIO_PAD[116]" x="0" y="3" z="4"/> + <io pad="gfpga_pad_GPIO_PAD[117]" x="0" y="3" z="5"/> + <io pad="gfpga_pad_GPIO_PAD[118]" x="0" y="3" z="6"/> + <io pad="gfpga_pad_GPIO_PAD[119]" x="0" y="3" z="7"/> + <io pad="gfpga_pad_GPIO_PAD[120]" x="0" y="4" z="0"/> + <io pad="gfpga_pad_GPIO_PAD[121]" x="0" y="4" z="1"/> + <io pad="gfpga_pad_GPIO_PAD[122]" x="0" y="4" z="2"/> + <io pad="gfpga_pad_GPIO_PAD[123]" x="0" y="4" z="3"/> + <io pad="gfpga_pad_GPIO_PAD[124]" x="0" y="4" z="4"/> + <io pad="gfpga_pad_GPIO_PAD[125]" x="0" y="4" z="5"/> + <io pad="gfpga_pad_GPIO_PAD[126]" x="0" y="4" z="6"/> + <io pad="gfpga_pad_GPIO_PAD[127]" x="0" y="4" z="7"/> + <io pad="gfpga_pad_GPIO_PAD[88]" x="1" y="0" z="0"/> + <io pad="gfpga_pad_GPIO_PAD[89]" x="1" y="0" z="1"/> + <io pad="gfpga_pad_GPIO_PAD[90]" x="1" y="0" z="2"/> + <io pad="gfpga_pad_GPIO_PAD[91]" x="1" y="0" z="3"/> + <io pad="gfpga_pad_GPIO_PAD[92]" x="1" y="0" z="4"/> + <io pad="gfpga_pad_GPIO_PAD[93]" x="1" y="0" z="5"/> + <io pad="gfpga_pad_GPIO_PAD[94]" x="1" y="0" z="6"/> + <io pad="gfpga_pad_GPIO_PAD[95]" x="1" y="0" z="7"/> + <io pad="gfpga_pad_GPIO_PAD[0]" x="1" y="5" z="0"/> + <io pad="gfpga_pad_GPIO_PAD[1]" x="1" y="5" z="1"/> + <io pad="gfpga_pad_GPIO_PAD[2]" x="1" y="5" z="2"/> + <io pad="gfpga_pad_GPIO_PAD[3]" x="1" y="5" z="3"/> + <io pad="gfpga_pad_GPIO_PAD[4]" x="1" y="5" z="4"/> + <io pad="gfpga_pad_GPIO_PAD[5]" x="1" y="5" z="5"/> + <io pad="gfpga_pad_GPIO_PAD[6]" x="1" y="5" z="6"/> + <io pad="gfpga_pad_GPIO_PAD[7]" x="1" y="5" z="7"/> + <io pad="gfpga_pad_GPIO_PAD[80]" x="2" y="0" z="0"/> + <io pad="gfpga_pad_GPIO_PAD[81]" x="2" y="0" z="1"/> + <io pad="gfpga_pad_GPIO_PAD[82]" x="2" y="0" z="2"/> + <io pad="gfpga_pad_GPIO_PAD[83]" x="2" y="0" z="3"/> + <io pad="gfpga_pad_GPIO_PAD[84]" x="2" y="0" z="4"/> + <io pad="gfpga_pad_GPIO_PAD[85]" x="2" y="0" z="5"/> + <io pad="gfpga_pad_GPIO_PAD[86]" x="2" y="0" z="6"/> + <io pad="gfpga_pad_GPIO_PAD[87]" x="2" y="0" z="7"/> + <io pad="gfpga_pad_GPIO_PAD[8]" x="2" y="5" z="0"/> + <io pad="gfpga_pad_GPIO_PAD[9]" x="2" y="5" z="1"/> + <io pad="gfpga_pad_GPIO_PAD[10]" x="2" y="5" z="2"/> + <io pad="gfpga_pad_GPIO_PAD[11]" x="2" y="5" z="3"/> + <io pad="gfpga_pad_GPIO_PAD[12]" x="2" y="5" z="4"/> + <io pad="gfpga_pad_GPIO_PAD[13]" x="2" y="5" z="5"/> + <io pad="gfpga_pad_GPIO_PAD[14]" x="2" y="5" z="6"/> + <io pad="gfpga_pad_GPIO_PAD[15]" x="2" y="5" z="7"/> + <io pad="gfpga_pad_GPIO_PAD[72]" x="3" y="0" z="0"/> + <io pad="gfpga_pad_GPIO_PAD[73]" x="3" y="0" z="1"/> + <io pad="gfpga_pad_GPIO_PAD[74]" x="3" y="0" z="2"/> + <io pad="gfpga_pad_GPIO_PAD[75]" x="3" y="0" z="3"/> + <io pad="gfpga_pad_GPIO_PAD[76]" x="3" y="0" z="4"/> + <io pad="gfpga_pad_GPIO_PAD[77]" x="3" y="0" z="5"/> + <io pad="gfpga_pad_GPIO_PAD[78]" x="3" y="0" z="6"/> + <io pad="gfpga_pad_GPIO_PAD[79]" x="3" y="0" z="7"/> + <io pad="gfpga_pad_GPIO_PAD[16]" x="3" y="5" z="0"/> + <io pad="gfpga_pad_GPIO_PAD[17]" x="3" y="5" z="1"/> + <io pad="gfpga_pad_GPIO_PAD[18]" x="3" y="5" z="2"/> + <io pad="gfpga_pad_GPIO_PAD[19]" x="3" y="5" z="3"/> + <io pad="gfpga_pad_GPIO_PAD[20]" x="3" y="5" z="4"/> + <io pad="gfpga_pad_GPIO_PAD[21]" x="3" y="5" z="5"/> + <io pad="gfpga_pad_GPIO_PAD[22]" x="3" y="5" z="6"/> + <io pad="gfpga_pad_GPIO_PAD[23]" x="3" y="5" z="7"/> + <io pad="gfpga_pad_GPIO_PAD[64]" x="4" y="0" z="0"/> + <io pad="gfpga_pad_GPIO_PAD[65]" x="4" y="0" z="1"/> + <io pad="gfpga_pad_GPIO_PAD[66]" x="4" y="0" z="2"/> + <io pad="gfpga_pad_GPIO_PAD[67]" x="4" y="0" z="3"/> + <io pad="gfpga_pad_GPIO_PAD[68]" x="4" y="0" z="4"/> + <io pad="gfpga_pad_GPIO_PAD[69]" x="4" y="0" z="5"/> + <io pad="gfpga_pad_GPIO_PAD[70]" x="4" y="0" z="6"/> + <io pad="gfpga_pad_GPIO_PAD[71]" x="4" y="0" z="7"/> + <io pad="gfpga_pad_GPIO_PAD[24]" x="4" y="5" z="0"/> + <io pad="gfpga_pad_GPIO_PAD[25]" x="4" y="5" z="1"/> + <io pad="gfpga_pad_GPIO_PAD[26]" x="4" y="5" z="2"/> + <io pad="gfpga_pad_GPIO_PAD[27]" x="4" y="5" z="3"/> + <io pad="gfpga_pad_GPIO_PAD[28]" x="4" y="5" z="4"/> + <io pad="gfpga_pad_GPIO_PAD[29]" x="4" y="5" z="5"/> + <io pad="gfpga_pad_GPIO_PAD[30]" x="4" y="5" z="6"/> + <io pad="gfpga_pad_GPIO_PAD[31]" x="4" y="5" z="7"/> + <io pad="gfpga_pad_GPIO_PAD[56]" x="5" y="1" z="0"/> + <io pad="gfpga_pad_GPIO_PAD[57]" x="5" y="1" z="1"/> + <io pad="gfpga_pad_GPIO_PAD[58]" x="5" y="1" z="2"/> + <io pad="gfpga_pad_GPIO_PAD[59]" x="5" y="1" z="3"/> + <io pad="gfpga_pad_GPIO_PAD[60]" x="5" y="1" z="4"/> + <io pad="gfpga_pad_GPIO_PAD[61]" x="5" y="1" z="5"/> + <io pad="gfpga_pad_GPIO_PAD[62]" x="5" y="1" z="6"/> + <io pad="gfpga_pad_GPIO_PAD[63]" x="5" y="1" z="7"/> + <io pad="gfpga_pad_GPIO_PAD[48]" x="5" y="2" z="0"/> + <io pad="gfpga_pad_GPIO_PAD[49]" x="5" y="2" z="1"/> + <io pad="gfpga_pad_GPIO_PAD[50]" x="5" y="2" z="2"/> + <io pad="gfpga_pad_GPIO_PAD[51]" x="5" y="2" z="3"/> + <io pad="gfpga_pad_GPIO_PAD[52]" x="5" y="2" z="4"/> + <io pad="gfpga_pad_GPIO_PAD[53]" x="5" y="2" z="5"/> + <io pad="gfpga_pad_GPIO_PAD[54]" x="5" y="2" z="6"/> + <io pad="gfpga_pad_GPIO_PAD[55]" x="5" y="2" z="7"/> + <io pad="gfpga_pad_GPIO_PAD[40]" x="5" y="3" z="0"/> + <io pad="gfpga_pad_GPIO_PAD[41]" x="5" y="3" z="1"/> + <io pad="gfpga_pad_GPIO_PAD[42]" x="5" y="3" z="2"/> + <io pad="gfpga_pad_GPIO_PAD[43]" x="5" y="3" z="3"/> + <io pad="gfpga_pad_GPIO_PAD[44]" x="5" y="3" z="4"/> + <io pad="gfpga_pad_GPIO_PAD[45]" x="5" y="3" z="5"/> + <io pad="gfpga_pad_GPIO_PAD[46]" x="5" y="3" z="6"/> + <io pad="gfpga_pad_GPIO_PAD[47]" x="5" y="3" z="7"/> + <io pad="gfpga_pad_GPIO_PAD[32]" x="5" y="4" z="0"/> + <io pad="gfpga_pad_GPIO_PAD[33]" x="5" y="4" z="1"/> + <io pad="gfpga_pad_GPIO_PAD[34]" x="5" y="4" z="2"/> + <io pad="gfpga_pad_GPIO_PAD[35]" x="5" y="4" z="3"/> + <io pad="gfpga_pad_GPIO_PAD[36]" x="5" y="4" z="4"/> + <io pad="gfpga_pad_GPIO_PAD[37]" x="5" y="4" z="5"/> + <io pad="gfpga_pad_GPIO_PAD[38]" x="5" y="4" z="6"/> + <io pad="gfpga_pad_GPIO_PAD[39]" x="5" y="4" z="7"/> +</io_coordinates> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/fabric_netlists.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/fabric_netlists.v new file mode 100644 index 000000000..472db6491 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/fabric_netlists.v @@ -0,0 +1,60 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Fabric Netlist Summary +// Author: Xifan TANG +// Organization: University of Utah +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +// ------ Include defines: preproc flags ----- +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/fpga_defines.v" + +// ------ Include user-defined netlists ----- +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/openfpga_cell_library/verilog/dff.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/openfpga_cell_library/verilog/gpio.v" +// ------ Include primitive module netlists ----- +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/inv_buf_passgate.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/arch_encoder.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/local_encoder.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/mux_primitives.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/muxes.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/luts.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/wires.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/memories.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/shift_register_banks.v" + +// ------ Include logic block netlists ----- +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/logical_tile_io_mode_physical__iopad.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/logical_tile_io_mode_io_.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_clb_.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/grid_io_top.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/grid_io_right.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/grid_io_bottom.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/grid_io_left.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/grid_clb.v" + +// ------ Include routing module netlists ----- +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/sb_0__0_.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/sb_0__1_.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/sb_0__4_.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/sb_1__0_.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/sb_1__1_.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/sb_1__4_.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/sb_4__0_.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/sb_4__1_.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/sb_4__4_.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/cbx_1__0_.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/cbx_1__1_.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/cbx_1__4_.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/cby_0__1_.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/cby_1__1_.v" +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/cby_4__1_.v" + +// ------ Include fabric top-level netlists ----- +`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/fpga_top.v" + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/fpga_defines.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/fpga_defines.v new file mode 100644 index 000000000..5088338fe --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/fpga_defines.v @@ -0,0 +1,11 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Preprocessing flags to enable/disable features in FPGA Verilog modules +// Author: Xifan TANG +// Organization: University of Utah +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +`define ENABLE_TIMING 1 + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/fpga_top.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/fpga_top.v new file mode 100644 index 000000000..256b1125a --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/fpga_top.v @@ -0,0 +1,2863 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Top-level Verilog module for FPGA +// Author: Xifan TANG +// Organization: University of Utah +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for fpga_top ----- +module fpga_top(prog_clk, + set, + reset, + clk, + gfpga_pad_GPIO_PAD, + ccff_head, + ccff_tail); +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- GLOBAL PORTS ----- +input [0:0] set; +//----- GLOBAL PORTS ----- +input [0:0] reset; +//----- GLOBAL PORTS ----- +input [0:0] clk; +//----- GPIO PORTS ----- +inout [0:127] gfpga_pad_GPIO_PAD; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:0] cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_0__pin_outpad_0_; +wire [0:0] cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_1__pin_outpad_0_; +wire [0:0] cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_2__pin_outpad_0_; +wire [0:0] cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_3__pin_outpad_0_; +wire [0:0] cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_4__pin_outpad_0_; +wire [0:0] cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_5__pin_outpad_0_; +wire [0:0] cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_6__pin_outpad_0_; +wire [0:0] cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_7__pin_outpad_0_; +wire [0:0] cbx_1__0__0_ccff_tail; +wire [0:9] cbx_1__0__0_chanx_left_out; +wire [0:9] cbx_1__0__0_chanx_right_out; +wire [0:0] cbx_1__0__0_top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_; +wire [0:0] cbx_1__0__0_top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_; +wire [0:0] cbx_1__0__0_top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_; +wire [0:0] cbx_1__0__1_bottom_grid_top_width_0_height_0_subtile_0__pin_outpad_0_; +wire [0:0] cbx_1__0__1_bottom_grid_top_width_0_height_0_subtile_1__pin_outpad_0_; +wire [0:0] cbx_1__0__1_bottom_grid_top_width_0_height_0_subtile_2__pin_outpad_0_; +wire [0:0] cbx_1__0__1_bottom_grid_top_width_0_height_0_subtile_3__pin_outpad_0_; +wire [0:0] cbx_1__0__1_bottom_grid_top_width_0_height_0_subtile_4__pin_outpad_0_; +wire [0:0] cbx_1__0__1_bottom_grid_top_width_0_height_0_subtile_5__pin_outpad_0_; +wire [0:0] cbx_1__0__1_bottom_grid_top_width_0_height_0_subtile_6__pin_outpad_0_; +wire [0:0] cbx_1__0__1_bottom_grid_top_width_0_height_0_subtile_7__pin_outpad_0_; +wire [0:0] cbx_1__0__1_ccff_tail; +wire [0:9] cbx_1__0__1_chanx_left_out; +wire [0:9] cbx_1__0__1_chanx_right_out; +wire [0:0] cbx_1__0__1_top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_; +wire [0:0] cbx_1__0__1_top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_; +wire [0:0] cbx_1__0__1_top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_; +wire [0:0] cbx_1__0__2_bottom_grid_top_width_0_height_0_subtile_0__pin_outpad_0_; +wire [0:0] cbx_1__0__2_bottom_grid_top_width_0_height_0_subtile_1__pin_outpad_0_; +wire [0:0] cbx_1__0__2_bottom_grid_top_width_0_height_0_subtile_2__pin_outpad_0_; +wire [0:0] cbx_1__0__2_bottom_grid_top_width_0_height_0_subtile_3__pin_outpad_0_; +wire [0:0] cbx_1__0__2_bottom_grid_top_width_0_height_0_subtile_4__pin_outpad_0_; +wire [0:0] cbx_1__0__2_bottom_grid_top_width_0_height_0_subtile_5__pin_outpad_0_; +wire [0:0] cbx_1__0__2_bottom_grid_top_width_0_height_0_subtile_6__pin_outpad_0_; +wire [0:0] cbx_1__0__2_bottom_grid_top_width_0_height_0_subtile_7__pin_outpad_0_; +wire [0:0] cbx_1__0__2_ccff_tail; +wire [0:9] cbx_1__0__2_chanx_left_out; +wire [0:9] cbx_1__0__2_chanx_right_out; +wire [0:0] cbx_1__0__2_top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_; +wire [0:0] cbx_1__0__2_top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_; +wire [0:0] cbx_1__0__2_top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_; +wire [0:0] cbx_1__0__3_bottom_grid_top_width_0_height_0_subtile_0__pin_outpad_0_; +wire [0:0] cbx_1__0__3_bottom_grid_top_width_0_height_0_subtile_1__pin_outpad_0_; +wire [0:0] cbx_1__0__3_bottom_grid_top_width_0_height_0_subtile_2__pin_outpad_0_; +wire [0:0] cbx_1__0__3_bottom_grid_top_width_0_height_0_subtile_3__pin_outpad_0_; +wire [0:0] cbx_1__0__3_bottom_grid_top_width_0_height_0_subtile_4__pin_outpad_0_; +wire [0:0] cbx_1__0__3_bottom_grid_top_width_0_height_0_subtile_5__pin_outpad_0_; +wire [0:0] cbx_1__0__3_bottom_grid_top_width_0_height_0_subtile_6__pin_outpad_0_; +wire [0:0] cbx_1__0__3_bottom_grid_top_width_0_height_0_subtile_7__pin_outpad_0_; +wire [0:0] cbx_1__0__3_ccff_tail; +wire [0:9] cbx_1__0__3_chanx_left_out; +wire [0:9] cbx_1__0__3_chanx_right_out; +wire [0:0] cbx_1__0__3_top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_; +wire [0:0] cbx_1__0__3_top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_; +wire [0:0] cbx_1__0__3_top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_; +wire [0:0] cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_; +wire [0:0] cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_; +wire [0:0] cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_; +wire [0:0] cbx_1__1__0_ccff_tail; +wire [0:9] cbx_1__1__0_chanx_left_out; +wire [0:9] cbx_1__1__0_chanx_right_out; +wire [0:0] cbx_1__1__0_top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_; +wire [0:0] cbx_1__1__0_top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_; +wire [0:0] cbx_1__1__0_top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_; +wire [0:0] cbx_1__1__10_bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_; +wire [0:0] cbx_1__1__10_bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_; +wire [0:0] cbx_1__1__10_bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_; +wire [0:0] cbx_1__1__10_ccff_tail; +wire [0:9] cbx_1__1__10_chanx_left_out; +wire [0:9] cbx_1__1__10_chanx_right_out; +wire [0:0] cbx_1__1__10_top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_; +wire [0:0] cbx_1__1__10_top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_; +wire [0:0] cbx_1__1__10_top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_; +wire [0:0] cbx_1__1__11_bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_; +wire [0:0] cbx_1__1__11_bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_; +wire [0:0] cbx_1__1__11_bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_; +wire [0:0] cbx_1__1__11_ccff_tail; +wire [0:9] cbx_1__1__11_chanx_left_out; +wire [0:9] cbx_1__1__11_chanx_right_out; +wire [0:0] cbx_1__1__11_top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_; +wire [0:0] cbx_1__1__11_top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_; +wire [0:0] cbx_1__1__11_top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_; +wire [0:0] cbx_1__1__1_bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_; +wire [0:0] cbx_1__1__1_bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_; +wire [0:0] cbx_1__1__1_bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_; +wire [0:0] cbx_1__1__1_ccff_tail; +wire [0:9] cbx_1__1__1_chanx_left_out; +wire [0:9] cbx_1__1__1_chanx_right_out; +wire [0:0] cbx_1__1__1_top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_; +wire [0:0] cbx_1__1__1_top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_; +wire [0:0] cbx_1__1__1_top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_; +wire [0:0] cbx_1__1__2_bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_; +wire [0:0] cbx_1__1__2_bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_; +wire [0:0] cbx_1__1__2_bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_; +wire [0:0] cbx_1__1__2_ccff_tail; +wire [0:9] cbx_1__1__2_chanx_left_out; +wire [0:9] cbx_1__1__2_chanx_right_out; +wire [0:0] cbx_1__1__2_top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_; +wire [0:0] cbx_1__1__2_top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_; +wire [0:0] cbx_1__1__2_top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_; +wire [0:0] cbx_1__1__3_bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_; +wire [0:0] cbx_1__1__3_bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_; +wire [0:0] cbx_1__1__3_bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_; +wire [0:0] cbx_1__1__3_ccff_tail; +wire [0:9] cbx_1__1__3_chanx_left_out; +wire [0:9] cbx_1__1__3_chanx_right_out; +wire [0:0] cbx_1__1__3_top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_; +wire [0:0] cbx_1__1__3_top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_; +wire [0:0] cbx_1__1__3_top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_; +wire [0:0] cbx_1__1__4_bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_; +wire [0:0] cbx_1__1__4_bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_; +wire [0:0] cbx_1__1__4_bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_; +wire [0:0] cbx_1__1__4_ccff_tail; +wire [0:9] cbx_1__1__4_chanx_left_out; +wire [0:9] cbx_1__1__4_chanx_right_out; +wire [0:0] cbx_1__1__4_top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_; +wire [0:0] cbx_1__1__4_top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_; +wire [0:0] cbx_1__1__4_top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_; +wire [0:0] cbx_1__1__5_bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_; +wire [0:0] cbx_1__1__5_bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_; +wire [0:0] cbx_1__1__5_bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_; +wire [0:0] cbx_1__1__5_ccff_tail; +wire [0:9] cbx_1__1__5_chanx_left_out; +wire [0:9] cbx_1__1__5_chanx_right_out; +wire [0:0] cbx_1__1__5_top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_; +wire [0:0] cbx_1__1__5_top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_; +wire [0:0] cbx_1__1__5_top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_; +wire [0:0] cbx_1__1__6_bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_; +wire [0:0] cbx_1__1__6_bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_; +wire [0:0] cbx_1__1__6_bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_; +wire [0:0] cbx_1__1__6_ccff_tail; +wire [0:9] cbx_1__1__6_chanx_left_out; +wire [0:9] cbx_1__1__6_chanx_right_out; +wire [0:0] cbx_1__1__6_top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_; +wire [0:0] cbx_1__1__6_top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_; +wire [0:0] cbx_1__1__6_top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_; +wire [0:0] cbx_1__1__7_bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_; +wire [0:0] cbx_1__1__7_bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_; +wire [0:0] cbx_1__1__7_bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_; +wire [0:0] cbx_1__1__7_ccff_tail; +wire [0:9] cbx_1__1__7_chanx_left_out; +wire [0:9] cbx_1__1__7_chanx_right_out; +wire [0:0] cbx_1__1__7_top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_; +wire [0:0] cbx_1__1__7_top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_; +wire [0:0] cbx_1__1__7_top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_; +wire [0:0] cbx_1__1__8_bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_; +wire [0:0] cbx_1__1__8_bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_; +wire [0:0] cbx_1__1__8_bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_; +wire [0:0] cbx_1__1__8_ccff_tail; +wire [0:9] cbx_1__1__8_chanx_left_out; +wire [0:9] cbx_1__1__8_chanx_right_out; +wire [0:0] cbx_1__1__8_top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_; +wire [0:0] cbx_1__1__8_top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_; +wire [0:0] cbx_1__1__8_top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_; +wire [0:0] cbx_1__1__9_bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_; +wire [0:0] cbx_1__1__9_bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_; +wire [0:0] cbx_1__1__9_bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_; +wire [0:0] cbx_1__1__9_ccff_tail; +wire [0:9] cbx_1__1__9_chanx_left_out; +wire [0:9] cbx_1__1__9_chanx_right_out; +wire [0:0] cbx_1__1__9_top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_; +wire [0:0] cbx_1__1__9_top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_; +wire [0:0] cbx_1__1__9_top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_; +wire [0:0] cbx_1__4__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_; +wire [0:0] cbx_1__4__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_; +wire [0:0] cbx_1__4__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_; +wire [0:0] cbx_1__4__0_ccff_tail; +wire [0:9] cbx_1__4__0_chanx_left_out; +wire [0:9] cbx_1__4__0_chanx_right_out; +wire [0:0] cbx_1__4__0_top_grid_bottom_width_0_height_0_subtile_0__pin_outpad_0_; +wire [0:0] cbx_1__4__0_top_grid_bottom_width_0_height_0_subtile_1__pin_outpad_0_; +wire [0:0] cbx_1__4__0_top_grid_bottom_width_0_height_0_subtile_2__pin_outpad_0_; +wire [0:0] cbx_1__4__0_top_grid_bottom_width_0_height_0_subtile_3__pin_outpad_0_; +wire [0:0] cbx_1__4__0_top_grid_bottom_width_0_height_0_subtile_4__pin_outpad_0_; +wire [0:0] cbx_1__4__0_top_grid_bottom_width_0_height_0_subtile_5__pin_outpad_0_; +wire [0:0] cbx_1__4__0_top_grid_bottom_width_0_height_0_subtile_6__pin_outpad_0_; +wire [0:0] cbx_1__4__0_top_grid_bottom_width_0_height_0_subtile_7__pin_outpad_0_; +wire [0:0] cbx_1__4__1_bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_; +wire [0:0] cbx_1__4__1_bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_; +wire [0:0] cbx_1__4__1_bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_; +wire [0:0] cbx_1__4__1_ccff_tail; +wire [0:9] cbx_1__4__1_chanx_left_out; +wire [0:9] cbx_1__4__1_chanx_right_out; +wire [0:0] cbx_1__4__1_top_grid_bottom_width_0_height_0_subtile_0__pin_outpad_0_; +wire [0:0] cbx_1__4__1_top_grid_bottom_width_0_height_0_subtile_1__pin_outpad_0_; +wire [0:0] cbx_1__4__1_top_grid_bottom_width_0_height_0_subtile_2__pin_outpad_0_; +wire [0:0] cbx_1__4__1_top_grid_bottom_width_0_height_0_subtile_3__pin_outpad_0_; +wire [0:0] cbx_1__4__1_top_grid_bottom_width_0_height_0_subtile_4__pin_outpad_0_; +wire [0:0] cbx_1__4__1_top_grid_bottom_width_0_height_0_subtile_5__pin_outpad_0_; +wire [0:0] cbx_1__4__1_top_grid_bottom_width_0_height_0_subtile_6__pin_outpad_0_; +wire [0:0] cbx_1__4__1_top_grid_bottom_width_0_height_0_subtile_7__pin_outpad_0_; +wire [0:0] cbx_1__4__2_bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_; +wire [0:0] cbx_1__4__2_bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_; +wire [0:0] cbx_1__4__2_bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_; +wire [0:0] cbx_1__4__2_ccff_tail; +wire [0:9] cbx_1__4__2_chanx_left_out; +wire [0:9] cbx_1__4__2_chanx_right_out; +wire [0:0] cbx_1__4__2_top_grid_bottom_width_0_height_0_subtile_0__pin_outpad_0_; +wire [0:0] cbx_1__4__2_top_grid_bottom_width_0_height_0_subtile_1__pin_outpad_0_; +wire [0:0] cbx_1__4__2_top_grid_bottom_width_0_height_0_subtile_2__pin_outpad_0_; +wire [0:0] cbx_1__4__2_top_grid_bottom_width_0_height_0_subtile_3__pin_outpad_0_; +wire [0:0] cbx_1__4__2_top_grid_bottom_width_0_height_0_subtile_4__pin_outpad_0_; +wire [0:0] cbx_1__4__2_top_grid_bottom_width_0_height_0_subtile_5__pin_outpad_0_; +wire [0:0] cbx_1__4__2_top_grid_bottom_width_0_height_0_subtile_6__pin_outpad_0_; +wire [0:0] cbx_1__4__2_top_grid_bottom_width_0_height_0_subtile_7__pin_outpad_0_; +wire [0:0] cbx_1__4__3_bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_; +wire [0:0] cbx_1__4__3_bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_; +wire [0:0] cbx_1__4__3_bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_; +wire [0:0] cbx_1__4__3_ccff_tail; +wire [0:9] cbx_1__4__3_chanx_left_out; +wire [0:9] cbx_1__4__3_chanx_right_out; +wire [0:0] cbx_1__4__3_top_grid_bottom_width_0_height_0_subtile_0__pin_outpad_0_; +wire [0:0] cbx_1__4__3_top_grid_bottom_width_0_height_0_subtile_1__pin_outpad_0_; +wire [0:0] cbx_1__4__3_top_grid_bottom_width_0_height_0_subtile_2__pin_outpad_0_; +wire [0:0] cbx_1__4__3_top_grid_bottom_width_0_height_0_subtile_3__pin_outpad_0_; +wire [0:0] cbx_1__4__3_top_grid_bottom_width_0_height_0_subtile_4__pin_outpad_0_; +wire [0:0] cbx_1__4__3_top_grid_bottom_width_0_height_0_subtile_5__pin_outpad_0_; +wire [0:0] cbx_1__4__3_top_grid_bottom_width_0_height_0_subtile_6__pin_outpad_0_; +wire [0:0] cbx_1__4__3_top_grid_bottom_width_0_height_0_subtile_7__pin_outpad_0_; +wire [0:0] cby_0__1__0_ccff_tail; +wire [0:9] cby_0__1__0_chany_bottom_out; +wire [0:9] cby_0__1__0_chany_top_out; +wire [0:0] cby_0__1__0_left_grid_right_width_0_height_0_subtile_0__pin_outpad_0_; +wire [0:0] cby_0__1__0_left_grid_right_width_0_height_0_subtile_1__pin_outpad_0_; +wire [0:0] cby_0__1__0_left_grid_right_width_0_height_0_subtile_2__pin_outpad_0_; +wire [0:0] cby_0__1__0_left_grid_right_width_0_height_0_subtile_3__pin_outpad_0_; +wire [0:0] cby_0__1__0_left_grid_right_width_0_height_0_subtile_4__pin_outpad_0_; +wire [0:0] cby_0__1__0_left_grid_right_width_0_height_0_subtile_5__pin_outpad_0_; +wire [0:0] cby_0__1__0_left_grid_right_width_0_height_0_subtile_6__pin_outpad_0_; +wire [0:0] cby_0__1__0_left_grid_right_width_0_height_0_subtile_7__pin_outpad_0_; +wire [0:0] cby_0__1__0_right_grid_left_width_0_height_0_subtile_0__pin_I_3_; +wire [0:0] cby_0__1__0_right_grid_left_width_0_height_0_subtile_0__pin_I_7_; +wire [0:0] cby_0__1__1_ccff_tail; +wire [0:9] cby_0__1__1_chany_bottom_out; +wire [0:9] cby_0__1__1_chany_top_out; +wire [0:0] cby_0__1__1_left_grid_right_width_0_height_0_subtile_0__pin_outpad_0_; +wire [0:0] cby_0__1__1_left_grid_right_width_0_height_0_subtile_1__pin_outpad_0_; +wire [0:0] cby_0__1__1_left_grid_right_width_0_height_0_subtile_2__pin_outpad_0_; +wire [0:0] cby_0__1__1_left_grid_right_width_0_height_0_subtile_3__pin_outpad_0_; +wire [0:0] cby_0__1__1_left_grid_right_width_0_height_0_subtile_4__pin_outpad_0_; +wire [0:0] cby_0__1__1_left_grid_right_width_0_height_0_subtile_5__pin_outpad_0_; +wire [0:0] cby_0__1__1_left_grid_right_width_0_height_0_subtile_6__pin_outpad_0_; +wire [0:0] cby_0__1__1_left_grid_right_width_0_height_0_subtile_7__pin_outpad_0_; +wire [0:0] cby_0__1__1_right_grid_left_width_0_height_0_subtile_0__pin_I_3_; +wire [0:0] cby_0__1__1_right_grid_left_width_0_height_0_subtile_0__pin_I_7_; +wire [0:0] cby_0__1__2_ccff_tail; +wire [0:9] cby_0__1__2_chany_bottom_out; +wire [0:9] cby_0__1__2_chany_top_out; +wire [0:0] cby_0__1__2_left_grid_right_width_0_height_0_subtile_0__pin_outpad_0_; +wire [0:0] cby_0__1__2_left_grid_right_width_0_height_0_subtile_1__pin_outpad_0_; +wire [0:0] cby_0__1__2_left_grid_right_width_0_height_0_subtile_2__pin_outpad_0_; +wire [0:0] cby_0__1__2_left_grid_right_width_0_height_0_subtile_3__pin_outpad_0_; +wire [0:0] cby_0__1__2_left_grid_right_width_0_height_0_subtile_4__pin_outpad_0_; +wire [0:0] cby_0__1__2_left_grid_right_width_0_height_0_subtile_5__pin_outpad_0_; +wire [0:0] cby_0__1__2_left_grid_right_width_0_height_0_subtile_6__pin_outpad_0_; +wire [0:0] cby_0__1__2_left_grid_right_width_0_height_0_subtile_7__pin_outpad_0_; +wire [0:0] cby_0__1__2_right_grid_left_width_0_height_0_subtile_0__pin_I_3_; +wire [0:0] cby_0__1__2_right_grid_left_width_0_height_0_subtile_0__pin_I_7_; +wire [0:0] cby_0__1__3_ccff_tail; +wire [0:9] cby_0__1__3_chany_bottom_out; +wire [0:9] cby_0__1__3_chany_top_out; +wire [0:0] cby_0__1__3_left_grid_right_width_0_height_0_subtile_0__pin_outpad_0_; +wire [0:0] cby_0__1__3_left_grid_right_width_0_height_0_subtile_1__pin_outpad_0_; +wire [0:0] cby_0__1__3_left_grid_right_width_0_height_0_subtile_2__pin_outpad_0_; +wire [0:0] cby_0__1__3_left_grid_right_width_0_height_0_subtile_3__pin_outpad_0_; +wire [0:0] cby_0__1__3_left_grid_right_width_0_height_0_subtile_4__pin_outpad_0_; +wire [0:0] cby_0__1__3_left_grid_right_width_0_height_0_subtile_5__pin_outpad_0_; +wire [0:0] cby_0__1__3_left_grid_right_width_0_height_0_subtile_6__pin_outpad_0_; +wire [0:0] cby_0__1__3_left_grid_right_width_0_height_0_subtile_7__pin_outpad_0_; +wire [0:0] cby_0__1__3_right_grid_left_width_0_height_0_subtile_0__pin_I_3_; +wire [0:0] cby_0__1__3_right_grid_left_width_0_height_0_subtile_0__pin_I_7_; +wire [0:0] cby_1__1__0_ccff_tail; +wire [0:9] cby_1__1__0_chany_bottom_out; +wire [0:9] cby_1__1__0_chany_top_out; +wire [0:0] cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I_1_; +wire [0:0] cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I_5_; +wire [0:0] cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I_9_; +wire [0:0] cby_1__1__0_right_grid_left_width_0_height_0_subtile_0__pin_I_3_; +wire [0:0] cby_1__1__0_right_grid_left_width_0_height_0_subtile_0__pin_I_7_; +wire [0:0] cby_1__1__10_ccff_tail; +wire [0:9] cby_1__1__10_chany_bottom_out; +wire [0:9] cby_1__1__10_chany_top_out; +wire [0:0] cby_1__1__10_left_grid_right_width_0_height_0_subtile_0__pin_I_1_; +wire [0:0] cby_1__1__10_left_grid_right_width_0_height_0_subtile_0__pin_I_5_; +wire [0:0] cby_1__1__10_left_grid_right_width_0_height_0_subtile_0__pin_I_9_; +wire [0:0] cby_1__1__10_right_grid_left_width_0_height_0_subtile_0__pin_I_3_; +wire [0:0] cby_1__1__10_right_grid_left_width_0_height_0_subtile_0__pin_I_7_; +wire [0:0] cby_1__1__11_ccff_tail; +wire [0:9] cby_1__1__11_chany_bottom_out; +wire [0:9] cby_1__1__11_chany_top_out; +wire [0:0] cby_1__1__11_left_grid_right_width_0_height_0_subtile_0__pin_I_1_; +wire [0:0] cby_1__1__11_left_grid_right_width_0_height_0_subtile_0__pin_I_5_; +wire [0:0] cby_1__1__11_left_grid_right_width_0_height_0_subtile_0__pin_I_9_; +wire [0:0] cby_1__1__11_right_grid_left_width_0_height_0_subtile_0__pin_I_3_; +wire [0:0] cby_1__1__11_right_grid_left_width_0_height_0_subtile_0__pin_I_7_; +wire [0:0] cby_1__1__1_ccff_tail; +wire [0:9] cby_1__1__1_chany_bottom_out; +wire [0:9] cby_1__1__1_chany_top_out; +wire [0:0] cby_1__1__1_left_grid_right_width_0_height_0_subtile_0__pin_I_1_; +wire [0:0] cby_1__1__1_left_grid_right_width_0_height_0_subtile_0__pin_I_5_; +wire [0:0] cby_1__1__1_left_grid_right_width_0_height_0_subtile_0__pin_I_9_; +wire [0:0] cby_1__1__1_right_grid_left_width_0_height_0_subtile_0__pin_I_3_; +wire [0:0] cby_1__1__1_right_grid_left_width_0_height_0_subtile_0__pin_I_7_; +wire [0:0] cby_1__1__2_ccff_tail; +wire [0:9] cby_1__1__2_chany_bottom_out; +wire [0:9] cby_1__1__2_chany_top_out; +wire [0:0] cby_1__1__2_left_grid_right_width_0_height_0_subtile_0__pin_I_1_; +wire [0:0] cby_1__1__2_left_grid_right_width_0_height_0_subtile_0__pin_I_5_; +wire [0:0] cby_1__1__2_left_grid_right_width_0_height_0_subtile_0__pin_I_9_; +wire [0:0] cby_1__1__2_right_grid_left_width_0_height_0_subtile_0__pin_I_3_; +wire [0:0] cby_1__1__2_right_grid_left_width_0_height_0_subtile_0__pin_I_7_; +wire [0:0] cby_1__1__3_ccff_tail; +wire [0:9] cby_1__1__3_chany_bottom_out; +wire [0:9] cby_1__1__3_chany_top_out; +wire [0:0] cby_1__1__3_left_grid_right_width_0_height_0_subtile_0__pin_I_1_; +wire [0:0] cby_1__1__3_left_grid_right_width_0_height_0_subtile_0__pin_I_5_; +wire [0:0] cby_1__1__3_left_grid_right_width_0_height_0_subtile_0__pin_I_9_; +wire [0:0] cby_1__1__3_right_grid_left_width_0_height_0_subtile_0__pin_I_3_; +wire [0:0] cby_1__1__3_right_grid_left_width_0_height_0_subtile_0__pin_I_7_; +wire [0:0] cby_1__1__4_ccff_tail; +wire [0:9] cby_1__1__4_chany_bottom_out; +wire [0:9] cby_1__1__4_chany_top_out; +wire [0:0] cby_1__1__4_left_grid_right_width_0_height_0_subtile_0__pin_I_1_; +wire [0:0] cby_1__1__4_left_grid_right_width_0_height_0_subtile_0__pin_I_5_; +wire [0:0] cby_1__1__4_left_grid_right_width_0_height_0_subtile_0__pin_I_9_; +wire [0:0] cby_1__1__4_right_grid_left_width_0_height_0_subtile_0__pin_I_3_; +wire [0:0] cby_1__1__4_right_grid_left_width_0_height_0_subtile_0__pin_I_7_; +wire [0:0] cby_1__1__5_ccff_tail; +wire [0:9] cby_1__1__5_chany_bottom_out; +wire [0:9] cby_1__1__5_chany_top_out; +wire [0:0] cby_1__1__5_left_grid_right_width_0_height_0_subtile_0__pin_I_1_; +wire [0:0] cby_1__1__5_left_grid_right_width_0_height_0_subtile_0__pin_I_5_; +wire [0:0] cby_1__1__5_left_grid_right_width_0_height_0_subtile_0__pin_I_9_; +wire [0:0] cby_1__1__5_right_grid_left_width_0_height_0_subtile_0__pin_I_3_; +wire [0:0] cby_1__1__5_right_grid_left_width_0_height_0_subtile_0__pin_I_7_; +wire [0:0] cby_1__1__6_ccff_tail; +wire [0:9] cby_1__1__6_chany_bottom_out; +wire [0:9] cby_1__1__6_chany_top_out; +wire [0:0] cby_1__1__6_left_grid_right_width_0_height_0_subtile_0__pin_I_1_; +wire [0:0] cby_1__1__6_left_grid_right_width_0_height_0_subtile_0__pin_I_5_; +wire [0:0] cby_1__1__6_left_grid_right_width_0_height_0_subtile_0__pin_I_9_; +wire [0:0] cby_1__1__6_right_grid_left_width_0_height_0_subtile_0__pin_I_3_; +wire [0:0] cby_1__1__6_right_grid_left_width_0_height_0_subtile_0__pin_I_7_; +wire [0:0] cby_1__1__7_ccff_tail; +wire [0:9] cby_1__1__7_chany_bottom_out; +wire [0:9] cby_1__1__7_chany_top_out; +wire [0:0] cby_1__1__7_left_grid_right_width_0_height_0_subtile_0__pin_I_1_; +wire [0:0] cby_1__1__7_left_grid_right_width_0_height_0_subtile_0__pin_I_5_; +wire [0:0] cby_1__1__7_left_grid_right_width_0_height_0_subtile_0__pin_I_9_; +wire [0:0] cby_1__1__7_right_grid_left_width_0_height_0_subtile_0__pin_I_3_; +wire [0:0] cby_1__1__7_right_grid_left_width_0_height_0_subtile_0__pin_I_7_; +wire [0:0] cby_1__1__8_ccff_tail; +wire [0:9] cby_1__1__8_chany_bottom_out; +wire [0:9] cby_1__1__8_chany_top_out; +wire [0:0] cby_1__1__8_left_grid_right_width_0_height_0_subtile_0__pin_I_1_; +wire [0:0] cby_1__1__8_left_grid_right_width_0_height_0_subtile_0__pin_I_5_; +wire [0:0] cby_1__1__8_left_grid_right_width_0_height_0_subtile_0__pin_I_9_; +wire [0:0] cby_1__1__8_right_grid_left_width_0_height_0_subtile_0__pin_I_3_; +wire [0:0] cby_1__1__8_right_grid_left_width_0_height_0_subtile_0__pin_I_7_; +wire [0:0] cby_1__1__9_ccff_tail; +wire [0:9] cby_1__1__9_chany_bottom_out; +wire [0:9] cby_1__1__9_chany_top_out; +wire [0:0] cby_1__1__9_left_grid_right_width_0_height_0_subtile_0__pin_I_1_; +wire [0:0] cby_1__1__9_left_grid_right_width_0_height_0_subtile_0__pin_I_5_; +wire [0:0] cby_1__1__9_left_grid_right_width_0_height_0_subtile_0__pin_I_9_; +wire [0:0] cby_1__1__9_right_grid_left_width_0_height_0_subtile_0__pin_I_3_; +wire [0:0] cby_1__1__9_right_grid_left_width_0_height_0_subtile_0__pin_I_7_; +wire [0:0] cby_4__1__0_ccff_tail; +wire [0:9] cby_4__1__0_chany_bottom_out; +wire [0:9] cby_4__1__0_chany_top_out; +wire [0:0] cby_4__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I_1_; +wire [0:0] cby_4__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I_5_; +wire [0:0] cby_4__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I_9_; +wire [0:0] cby_4__1__0_right_grid_left_width_0_height_0_subtile_0__pin_outpad_0_; +wire [0:0] cby_4__1__0_right_grid_left_width_0_height_0_subtile_1__pin_outpad_0_; +wire [0:0] cby_4__1__0_right_grid_left_width_0_height_0_subtile_2__pin_outpad_0_; +wire [0:0] cby_4__1__0_right_grid_left_width_0_height_0_subtile_3__pin_outpad_0_; +wire [0:0] cby_4__1__0_right_grid_left_width_0_height_0_subtile_4__pin_outpad_0_; +wire [0:0] cby_4__1__0_right_grid_left_width_0_height_0_subtile_5__pin_outpad_0_; +wire [0:0] cby_4__1__0_right_grid_left_width_0_height_0_subtile_6__pin_outpad_0_; +wire [0:0] cby_4__1__0_right_grid_left_width_0_height_0_subtile_7__pin_outpad_0_; +wire [0:0] cby_4__1__1_ccff_tail; +wire [0:9] cby_4__1__1_chany_bottom_out; +wire [0:9] cby_4__1__1_chany_top_out; +wire [0:0] cby_4__1__1_left_grid_right_width_0_height_0_subtile_0__pin_I_1_; +wire [0:0] cby_4__1__1_left_grid_right_width_0_height_0_subtile_0__pin_I_5_; +wire [0:0] cby_4__1__1_left_grid_right_width_0_height_0_subtile_0__pin_I_9_; +wire [0:0] cby_4__1__1_right_grid_left_width_0_height_0_subtile_0__pin_outpad_0_; +wire [0:0] cby_4__1__1_right_grid_left_width_0_height_0_subtile_1__pin_outpad_0_; +wire [0:0] cby_4__1__1_right_grid_left_width_0_height_0_subtile_2__pin_outpad_0_; +wire [0:0] cby_4__1__1_right_grid_left_width_0_height_0_subtile_3__pin_outpad_0_; +wire [0:0] cby_4__1__1_right_grid_left_width_0_height_0_subtile_4__pin_outpad_0_; +wire [0:0] cby_4__1__1_right_grid_left_width_0_height_0_subtile_5__pin_outpad_0_; +wire [0:0] cby_4__1__1_right_grid_left_width_0_height_0_subtile_6__pin_outpad_0_; +wire [0:0] cby_4__1__1_right_grid_left_width_0_height_0_subtile_7__pin_outpad_0_; +wire [0:0] cby_4__1__2_ccff_tail; +wire [0:9] cby_4__1__2_chany_bottom_out; +wire [0:9] cby_4__1__2_chany_top_out; +wire [0:0] cby_4__1__2_left_grid_right_width_0_height_0_subtile_0__pin_I_1_; +wire [0:0] cby_4__1__2_left_grid_right_width_0_height_0_subtile_0__pin_I_5_; +wire [0:0] cby_4__1__2_left_grid_right_width_0_height_0_subtile_0__pin_I_9_; +wire [0:0] cby_4__1__2_right_grid_left_width_0_height_0_subtile_0__pin_outpad_0_; +wire [0:0] cby_4__1__2_right_grid_left_width_0_height_0_subtile_1__pin_outpad_0_; +wire [0:0] cby_4__1__2_right_grid_left_width_0_height_0_subtile_2__pin_outpad_0_; +wire [0:0] cby_4__1__2_right_grid_left_width_0_height_0_subtile_3__pin_outpad_0_; +wire [0:0] cby_4__1__2_right_grid_left_width_0_height_0_subtile_4__pin_outpad_0_; +wire [0:0] cby_4__1__2_right_grid_left_width_0_height_0_subtile_5__pin_outpad_0_; +wire [0:0] cby_4__1__2_right_grid_left_width_0_height_0_subtile_6__pin_outpad_0_; +wire [0:0] cby_4__1__2_right_grid_left_width_0_height_0_subtile_7__pin_outpad_0_; +wire [0:0] cby_4__1__3_ccff_tail; +wire [0:9] cby_4__1__3_chany_bottom_out; +wire [0:9] cby_4__1__3_chany_top_out; +wire [0:0] cby_4__1__3_left_grid_right_width_0_height_0_subtile_0__pin_I_1_; +wire [0:0] cby_4__1__3_left_grid_right_width_0_height_0_subtile_0__pin_I_5_; +wire [0:0] cby_4__1__3_left_grid_right_width_0_height_0_subtile_0__pin_I_9_; +wire [0:0] cby_4__1__3_right_grid_left_width_0_height_0_subtile_0__pin_outpad_0_; +wire [0:0] cby_4__1__3_right_grid_left_width_0_height_0_subtile_1__pin_outpad_0_; +wire [0:0] cby_4__1__3_right_grid_left_width_0_height_0_subtile_2__pin_outpad_0_; +wire [0:0] cby_4__1__3_right_grid_left_width_0_height_0_subtile_3__pin_outpad_0_; +wire [0:0] cby_4__1__3_right_grid_left_width_0_height_0_subtile_4__pin_outpad_0_; +wire [0:0] cby_4__1__3_right_grid_left_width_0_height_0_subtile_5__pin_outpad_0_; +wire [0:0] cby_4__1__3_right_grid_left_width_0_height_0_subtile_6__pin_outpad_0_; +wire [0:0] cby_4__1__3_right_grid_left_width_0_height_0_subtile_7__pin_outpad_0_; +wire [0:0] grid_clb_0_bottom_width_0_height_0_subtile_0__pin_O_0_; +wire [0:0] grid_clb_0_ccff_tail; +wire [0:0] grid_clb_0_left_width_0_height_0_subtile_0__pin_O_1_; +wire [0:0] grid_clb_0_right_width_0_height_0_subtile_0__pin_O_3_; +wire [0:0] grid_clb_0_top_width_0_height_0_subtile_0__pin_O_2_; +wire [0:0] grid_clb_10_bottom_width_0_height_0_subtile_0__pin_O_0_; +wire [0:0] grid_clb_10_ccff_tail; +wire [0:0] grid_clb_10_left_width_0_height_0_subtile_0__pin_O_1_; +wire [0:0] grid_clb_10_right_width_0_height_0_subtile_0__pin_O_3_; +wire [0:0] grid_clb_10_top_width_0_height_0_subtile_0__pin_O_2_; +wire [0:0] grid_clb_11_bottom_width_0_height_0_subtile_0__pin_O_0_; +wire [0:0] grid_clb_11_ccff_tail; +wire [0:0] grid_clb_11_left_width_0_height_0_subtile_0__pin_O_1_; +wire [0:0] grid_clb_11_right_width_0_height_0_subtile_0__pin_O_3_; +wire [0:0] grid_clb_11_top_width_0_height_0_subtile_0__pin_O_2_; +wire [0:0] grid_clb_12_bottom_width_0_height_0_subtile_0__pin_O_0_; +wire [0:0] grid_clb_12_ccff_tail; +wire [0:0] grid_clb_12_left_width_0_height_0_subtile_0__pin_O_1_; +wire [0:0] grid_clb_12_right_width_0_height_0_subtile_0__pin_O_3_; +wire [0:0] grid_clb_12_top_width_0_height_0_subtile_0__pin_O_2_; +wire [0:0] grid_clb_13_bottom_width_0_height_0_subtile_0__pin_O_0_; +wire [0:0] grid_clb_13_ccff_tail; +wire [0:0] grid_clb_13_left_width_0_height_0_subtile_0__pin_O_1_; +wire [0:0] grid_clb_13_right_width_0_height_0_subtile_0__pin_O_3_; +wire [0:0] grid_clb_13_top_width_0_height_0_subtile_0__pin_O_2_; +wire [0:0] grid_clb_14_bottom_width_0_height_0_subtile_0__pin_O_0_; +wire [0:0] grid_clb_14_ccff_tail; +wire [0:0] grid_clb_14_left_width_0_height_0_subtile_0__pin_O_1_; +wire [0:0] grid_clb_14_right_width_0_height_0_subtile_0__pin_O_3_; +wire [0:0] grid_clb_14_top_width_0_height_0_subtile_0__pin_O_2_; +wire [0:0] grid_clb_15_bottom_width_0_height_0_subtile_0__pin_O_0_; +wire [0:0] grid_clb_15_ccff_tail; +wire [0:0] grid_clb_15_left_width_0_height_0_subtile_0__pin_O_1_; +wire [0:0] grid_clb_15_right_width_0_height_0_subtile_0__pin_O_3_; +wire [0:0] grid_clb_15_top_width_0_height_0_subtile_0__pin_O_2_; +wire [0:0] grid_clb_1_bottom_width_0_height_0_subtile_0__pin_O_0_; +wire [0:0] grid_clb_1_ccff_tail; +wire [0:0] grid_clb_1_left_width_0_height_0_subtile_0__pin_O_1_; +wire [0:0] grid_clb_1_right_width_0_height_0_subtile_0__pin_O_3_; +wire [0:0] grid_clb_1_top_width_0_height_0_subtile_0__pin_O_2_; +wire [0:0] grid_clb_2_bottom_width_0_height_0_subtile_0__pin_O_0_; +wire [0:0] grid_clb_2_ccff_tail; +wire [0:0] grid_clb_2_left_width_0_height_0_subtile_0__pin_O_1_; +wire [0:0] grid_clb_2_right_width_0_height_0_subtile_0__pin_O_3_; +wire [0:0] grid_clb_2_top_width_0_height_0_subtile_0__pin_O_2_; +wire [0:0] grid_clb_3_bottom_width_0_height_0_subtile_0__pin_O_0_; +wire [0:0] grid_clb_3_left_width_0_height_0_subtile_0__pin_O_1_; +wire [0:0] grid_clb_3_right_width_0_height_0_subtile_0__pin_O_3_; +wire [0:0] grid_clb_3_top_width_0_height_0_subtile_0__pin_O_2_; +wire [0:0] grid_clb_4_bottom_width_0_height_0_subtile_0__pin_O_0_; +wire [0:0] grid_clb_4_ccff_tail; +wire [0:0] grid_clb_4_left_width_0_height_0_subtile_0__pin_O_1_; +wire [0:0] grid_clb_4_right_width_0_height_0_subtile_0__pin_O_3_; +wire [0:0] grid_clb_4_top_width_0_height_0_subtile_0__pin_O_2_; +wire [0:0] grid_clb_5_bottom_width_0_height_0_subtile_0__pin_O_0_; +wire [0:0] grid_clb_5_ccff_tail; +wire [0:0] grid_clb_5_left_width_0_height_0_subtile_0__pin_O_1_; +wire [0:0] grid_clb_5_right_width_0_height_0_subtile_0__pin_O_3_; +wire [0:0] grid_clb_5_top_width_0_height_0_subtile_0__pin_O_2_; +wire [0:0] grid_clb_6_bottom_width_0_height_0_subtile_0__pin_O_0_; +wire [0:0] grid_clb_6_ccff_tail; +wire [0:0] grid_clb_6_left_width_0_height_0_subtile_0__pin_O_1_; +wire [0:0] grid_clb_6_right_width_0_height_0_subtile_0__pin_O_3_; +wire [0:0] grid_clb_6_top_width_0_height_0_subtile_0__pin_O_2_; +wire [0:0] grid_clb_7_bottom_width_0_height_0_subtile_0__pin_O_0_; +wire [0:0] grid_clb_7_ccff_tail; +wire [0:0] grid_clb_7_left_width_0_height_0_subtile_0__pin_O_1_; +wire [0:0] grid_clb_7_right_width_0_height_0_subtile_0__pin_O_3_; +wire [0:0] grid_clb_7_top_width_0_height_0_subtile_0__pin_O_2_; +wire [0:0] grid_clb_8_bottom_width_0_height_0_subtile_0__pin_O_0_; +wire [0:0] grid_clb_8_ccff_tail; +wire [0:0] grid_clb_8_left_width_0_height_0_subtile_0__pin_O_1_; +wire [0:0] grid_clb_8_right_width_0_height_0_subtile_0__pin_O_3_; +wire [0:0] grid_clb_8_top_width_0_height_0_subtile_0__pin_O_2_; +wire [0:0] grid_clb_9_bottom_width_0_height_0_subtile_0__pin_O_0_; +wire [0:0] grid_clb_9_ccff_tail; +wire [0:0] grid_clb_9_left_width_0_height_0_subtile_0__pin_O_1_; +wire [0:0] grid_clb_9_right_width_0_height_0_subtile_0__pin_O_3_; +wire [0:0] grid_clb_9_top_width_0_height_0_subtile_0__pin_O_2_; +wire [0:0] grid_io_bottom_0_ccff_tail; +wire [0:0] grid_io_bottom_0_top_width_0_height_0_subtile_0__pin_inpad_0_; +wire [0:0] grid_io_bottom_0_top_width_0_height_0_subtile_1__pin_inpad_0_; +wire [0:0] grid_io_bottom_0_top_width_0_height_0_subtile_2__pin_inpad_0_; +wire [0:0] grid_io_bottom_0_top_width_0_height_0_subtile_3__pin_inpad_0_; +wire [0:0] grid_io_bottom_0_top_width_0_height_0_subtile_4__pin_inpad_0_; +wire [0:0] grid_io_bottom_0_top_width_0_height_0_subtile_5__pin_inpad_0_; +wire [0:0] grid_io_bottom_0_top_width_0_height_0_subtile_6__pin_inpad_0_; +wire [0:0] grid_io_bottom_0_top_width_0_height_0_subtile_7__pin_inpad_0_; +wire [0:0] grid_io_bottom_1_ccff_tail; +wire [0:0] grid_io_bottom_1_top_width_0_height_0_subtile_0__pin_inpad_0_; +wire [0:0] grid_io_bottom_1_top_width_0_height_0_subtile_1__pin_inpad_0_; +wire [0:0] grid_io_bottom_1_top_width_0_height_0_subtile_2__pin_inpad_0_; +wire [0:0] grid_io_bottom_1_top_width_0_height_0_subtile_3__pin_inpad_0_; +wire [0:0] grid_io_bottom_1_top_width_0_height_0_subtile_4__pin_inpad_0_; +wire [0:0] grid_io_bottom_1_top_width_0_height_0_subtile_5__pin_inpad_0_; +wire [0:0] grid_io_bottom_1_top_width_0_height_0_subtile_6__pin_inpad_0_; +wire [0:0] grid_io_bottom_1_top_width_0_height_0_subtile_7__pin_inpad_0_; +wire [0:0] grid_io_bottom_2_ccff_tail; +wire [0:0] grid_io_bottom_2_top_width_0_height_0_subtile_0__pin_inpad_0_; +wire [0:0] grid_io_bottom_2_top_width_0_height_0_subtile_1__pin_inpad_0_; +wire [0:0] grid_io_bottom_2_top_width_0_height_0_subtile_2__pin_inpad_0_; +wire [0:0] grid_io_bottom_2_top_width_0_height_0_subtile_3__pin_inpad_0_; +wire [0:0] grid_io_bottom_2_top_width_0_height_0_subtile_4__pin_inpad_0_; +wire [0:0] grid_io_bottom_2_top_width_0_height_0_subtile_5__pin_inpad_0_; +wire [0:0] grid_io_bottom_2_top_width_0_height_0_subtile_6__pin_inpad_0_; +wire [0:0] grid_io_bottom_2_top_width_0_height_0_subtile_7__pin_inpad_0_; +wire [0:0] grid_io_bottom_3_ccff_tail; +wire [0:0] grid_io_bottom_3_top_width_0_height_0_subtile_0__pin_inpad_0_; +wire [0:0] grid_io_bottom_3_top_width_0_height_0_subtile_1__pin_inpad_0_; +wire [0:0] grid_io_bottom_3_top_width_0_height_0_subtile_2__pin_inpad_0_; +wire [0:0] grid_io_bottom_3_top_width_0_height_0_subtile_3__pin_inpad_0_; +wire [0:0] grid_io_bottom_3_top_width_0_height_0_subtile_4__pin_inpad_0_; +wire [0:0] grid_io_bottom_3_top_width_0_height_0_subtile_5__pin_inpad_0_; +wire [0:0] grid_io_bottom_3_top_width_0_height_0_subtile_6__pin_inpad_0_; +wire [0:0] grid_io_bottom_3_top_width_0_height_0_subtile_7__pin_inpad_0_; +wire [0:0] grid_io_left_0_ccff_tail; +wire [0:0] grid_io_left_0_right_width_0_height_0_subtile_0__pin_inpad_0_; +wire [0:0] grid_io_left_0_right_width_0_height_0_subtile_1__pin_inpad_0_; +wire [0:0] grid_io_left_0_right_width_0_height_0_subtile_2__pin_inpad_0_; +wire [0:0] grid_io_left_0_right_width_0_height_0_subtile_3__pin_inpad_0_; +wire [0:0] grid_io_left_0_right_width_0_height_0_subtile_4__pin_inpad_0_; +wire [0:0] grid_io_left_0_right_width_0_height_0_subtile_5__pin_inpad_0_; +wire [0:0] grid_io_left_0_right_width_0_height_0_subtile_6__pin_inpad_0_; +wire [0:0] grid_io_left_0_right_width_0_height_0_subtile_7__pin_inpad_0_; +wire [0:0] grid_io_left_1_ccff_tail; +wire [0:0] grid_io_left_1_right_width_0_height_0_subtile_0__pin_inpad_0_; +wire [0:0] grid_io_left_1_right_width_0_height_0_subtile_1__pin_inpad_0_; +wire [0:0] grid_io_left_1_right_width_0_height_0_subtile_2__pin_inpad_0_; +wire [0:0] grid_io_left_1_right_width_0_height_0_subtile_3__pin_inpad_0_; +wire [0:0] grid_io_left_1_right_width_0_height_0_subtile_4__pin_inpad_0_; +wire [0:0] grid_io_left_1_right_width_0_height_0_subtile_5__pin_inpad_0_; +wire [0:0] grid_io_left_1_right_width_0_height_0_subtile_6__pin_inpad_0_; +wire [0:0] grid_io_left_1_right_width_0_height_0_subtile_7__pin_inpad_0_; +wire [0:0] grid_io_left_2_ccff_tail; +wire [0:0] grid_io_left_2_right_width_0_height_0_subtile_0__pin_inpad_0_; +wire [0:0] grid_io_left_2_right_width_0_height_0_subtile_1__pin_inpad_0_; +wire [0:0] grid_io_left_2_right_width_0_height_0_subtile_2__pin_inpad_0_; +wire [0:0] grid_io_left_2_right_width_0_height_0_subtile_3__pin_inpad_0_; +wire [0:0] grid_io_left_2_right_width_0_height_0_subtile_4__pin_inpad_0_; +wire [0:0] grid_io_left_2_right_width_0_height_0_subtile_5__pin_inpad_0_; +wire [0:0] grid_io_left_2_right_width_0_height_0_subtile_6__pin_inpad_0_; +wire [0:0] grid_io_left_2_right_width_0_height_0_subtile_7__pin_inpad_0_; +wire [0:0] grid_io_left_3_ccff_tail; +wire [0:0] grid_io_left_3_right_width_0_height_0_subtile_0__pin_inpad_0_; +wire [0:0] grid_io_left_3_right_width_0_height_0_subtile_1__pin_inpad_0_; +wire [0:0] grid_io_left_3_right_width_0_height_0_subtile_2__pin_inpad_0_; +wire [0:0] grid_io_left_3_right_width_0_height_0_subtile_3__pin_inpad_0_; +wire [0:0] grid_io_left_3_right_width_0_height_0_subtile_4__pin_inpad_0_; +wire [0:0] grid_io_left_3_right_width_0_height_0_subtile_5__pin_inpad_0_; +wire [0:0] grid_io_left_3_right_width_0_height_0_subtile_6__pin_inpad_0_; +wire [0:0] grid_io_left_3_right_width_0_height_0_subtile_7__pin_inpad_0_; +wire [0:0] grid_io_right_0_ccff_tail; +wire [0:0] grid_io_right_0_left_width_0_height_0_subtile_0__pin_inpad_0_; +wire [0:0] grid_io_right_0_left_width_0_height_0_subtile_1__pin_inpad_0_; +wire [0:0] grid_io_right_0_left_width_0_height_0_subtile_2__pin_inpad_0_; +wire [0:0] grid_io_right_0_left_width_0_height_0_subtile_3__pin_inpad_0_; +wire [0:0] grid_io_right_0_left_width_0_height_0_subtile_4__pin_inpad_0_; +wire [0:0] grid_io_right_0_left_width_0_height_0_subtile_5__pin_inpad_0_; +wire [0:0] grid_io_right_0_left_width_0_height_0_subtile_6__pin_inpad_0_; +wire [0:0] grid_io_right_0_left_width_0_height_0_subtile_7__pin_inpad_0_; +wire [0:0] grid_io_right_1_ccff_tail; +wire [0:0] grid_io_right_1_left_width_0_height_0_subtile_0__pin_inpad_0_; +wire [0:0] grid_io_right_1_left_width_0_height_0_subtile_1__pin_inpad_0_; +wire [0:0] grid_io_right_1_left_width_0_height_0_subtile_2__pin_inpad_0_; +wire [0:0] grid_io_right_1_left_width_0_height_0_subtile_3__pin_inpad_0_; +wire [0:0] grid_io_right_1_left_width_0_height_0_subtile_4__pin_inpad_0_; +wire [0:0] grid_io_right_1_left_width_0_height_0_subtile_5__pin_inpad_0_; +wire [0:0] grid_io_right_1_left_width_0_height_0_subtile_6__pin_inpad_0_; +wire [0:0] grid_io_right_1_left_width_0_height_0_subtile_7__pin_inpad_0_; +wire [0:0] grid_io_right_2_ccff_tail; +wire [0:0] grid_io_right_2_left_width_0_height_0_subtile_0__pin_inpad_0_; +wire [0:0] grid_io_right_2_left_width_0_height_0_subtile_1__pin_inpad_0_; +wire [0:0] grid_io_right_2_left_width_0_height_0_subtile_2__pin_inpad_0_; +wire [0:0] grid_io_right_2_left_width_0_height_0_subtile_3__pin_inpad_0_; +wire [0:0] grid_io_right_2_left_width_0_height_0_subtile_4__pin_inpad_0_; +wire [0:0] grid_io_right_2_left_width_0_height_0_subtile_5__pin_inpad_0_; +wire [0:0] grid_io_right_2_left_width_0_height_0_subtile_6__pin_inpad_0_; +wire [0:0] grid_io_right_2_left_width_0_height_0_subtile_7__pin_inpad_0_; +wire [0:0] grid_io_right_3_ccff_tail; +wire [0:0] grid_io_right_3_left_width_0_height_0_subtile_0__pin_inpad_0_; +wire [0:0] grid_io_right_3_left_width_0_height_0_subtile_1__pin_inpad_0_; +wire [0:0] grid_io_right_3_left_width_0_height_0_subtile_2__pin_inpad_0_; +wire [0:0] grid_io_right_3_left_width_0_height_0_subtile_3__pin_inpad_0_; +wire [0:0] grid_io_right_3_left_width_0_height_0_subtile_4__pin_inpad_0_; +wire [0:0] grid_io_right_3_left_width_0_height_0_subtile_5__pin_inpad_0_; +wire [0:0] grid_io_right_3_left_width_0_height_0_subtile_6__pin_inpad_0_; +wire [0:0] grid_io_right_3_left_width_0_height_0_subtile_7__pin_inpad_0_; +wire [0:0] grid_io_top_0_bottom_width_0_height_0_subtile_0__pin_inpad_0_; +wire [0:0] grid_io_top_0_bottom_width_0_height_0_subtile_1__pin_inpad_0_; +wire [0:0] grid_io_top_0_bottom_width_0_height_0_subtile_2__pin_inpad_0_; +wire [0:0] grid_io_top_0_bottom_width_0_height_0_subtile_3__pin_inpad_0_; +wire [0:0] grid_io_top_0_bottom_width_0_height_0_subtile_4__pin_inpad_0_; +wire [0:0] grid_io_top_0_bottom_width_0_height_0_subtile_5__pin_inpad_0_; +wire [0:0] grid_io_top_0_bottom_width_0_height_0_subtile_6__pin_inpad_0_; +wire [0:0] grid_io_top_0_bottom_width_0_height_0_subtile_7__pin_inpad_0_; +wire [0:0] grid_io_top_0_ccff_tail; +wire [0:0] grid_io_top_1_bottom_width_0_height_0_subtile_0__pin_inpad_0_; +wire [0:0] grid_io_top_1_bottom_width_0_height_0_subtile_1__pin_inpad_0_; +wire [0:0] grid_io_top_1_bottom_width_0_height_0_subtile_2__pin_inpad_0_; +wire [0:0] grid_io_top_1_bottom_width_0_height_0_subtile_3__pin_inpad_0_; +wire [0:0] grid_io_top_1_bottom_width_0_height_0_subtile_4__pin_inpad_0_; +wire [0:0] grid_io_top_1_bottom_width_0_height_0_subtile_5__pin_inpad_0_; +wire [0:0] grid_io_top_1_bottom_width_0_height_0_subtile_6__pin_inpad_0_; +wire [0:0] grid_io_top_1_bottom_width_0_height_0_subtile_7__pin_inpad_0_; +wire [0:0] grid_io_top_1_ccff_tail; +wire [0:0] grid_io_top_2_bottom_width_0_height_0_subtile_0__pin_inpad_0_; +wire [0:0] grid_io_top_2_bottom_width_0_height_0_subtile_1__pin_inpad_0_; +wire [0:0] grid_io_top_2_bottom_width_0_height_0_subtile_2__pin_inpad_0_; +wire [0:0] grid_io_top_2_bottom_width_0_height_0_subtile_3__pin_inpad_0_; +wire [0:0] grid_io_top_2_bottom_width_0_height_0_subtile_4__pin_inpad_0_; +wire [0:0] grid_io_top_2_bottom_width_0_height_0_subtile_5__pin_inpad_0_; +wire [0:0] grid_io_top_2_bottom_width_0_height_0_subtile_6__pin_inpad_0_; +wire [0:0] grid_io_top_2_bottom_width_0_height_0_subtile_7__pin_inpad_0_; +wire [0:0] grid_io_top_2_ccff_tail; +wire [0:0] grid_io_top_3_bottom_width_0_height_0_subtile_0__pin_inpad_0_; +wire [0:0] grid_io_top_3_bottom_width_0_height_0_subtile_1__pin_inpad_0_; +wire [0:0] grid_io_top_3_bottom_width_0_height_0_subtile_2__pin_inpad_0_; +wire [0:0] grid_io_top_3_bottom_width_0_height_0_subtile_3__pin_inpad_0_; +wire [0:0] grid_io_top_3_bottom_width_0_height_0_subtile_4__pin_inpad_0_; +wire [0:0] grid_io_top_3_bottom_width_0_height_0_subtile_5__pin_inpad_0_; +wire [0:0] grid_io_top_3_bottom_width_0_height_0_subtile_6__pin_inpad_0_; +wire [0:0] grid_io_top_3_bottom_width_0_height_0_subtile_7__pin_inpad_0_; +wire [0:0] grid_io_top_3_ccff_tail; +wire [0:0] sb_0__0__0_ccff_tail; +wire [0:9] sb_0__0__0_chanx_right_out; +wire [0:9] sb_0__0__0_chany_top_out; +wire [0:0] sb_0__1__0_ccff_tail; +wire [0:9] sb_0__1__0_chanx_right_out; +wire [0:9] sb_0__1__0_chany_bottom_out; +wire [0:9] sb_0__1__0_chany_top_out; +wire [0:0] sb_0__1__1_ccff_tail; +wire [0:9] sb_0__1__1_chanx_right_out; +wire [0:9] sb_0__1__1_chany_bottom_out; +wire [0:9] sb_0__1__1_chany_top_out; +wire [0:0] sb_0__1__2_ccff_tail; +wire [0:9] sb_0__1__2_chanx_right_out; +wire [0:9] sb_0__1__2_chany_bottom_out; +wire [0:9] sb_0__1__2_chany_top_out; +wire [0:0] sb_0__4__0_ccff_tail; +wire [0:9] sb_0__4__0_chanx_right_out; +wire [0:9] sb_0__4__0_chany_bottom_out; +wire [0:0] sb_1__0__0_ccff_tail; +wire [0:9] sb_1__0__0_chanx_left_out; +wire [0:9] sb_1__0__0_chanx_right_out; +wire [0:9] sb_1__0__0_chany_top_out; +wire [0:0] sb_1__0__1_ccff_tail; +wire [0:9] sb_1__0__1_chanx_left_out; +wire [0:9] sb_1__0__1_chanx_right_out; +wire [0:9] sb_1__0__1_chany_top_out; +wire [0:0] sb_1__0__2_ccff_tail; +wire [0:9] sb_1__0__2_chanx_left_out; +wire [0:9] sb_1__0__2_chanx_right_out; +wire [0:9] sb_1__0__2_chany_top_out; +wire [0:0] sb_1__1__0_ccff_tail; +wire [0:9] sb_1__1__0_chanx_left_out; +wire [0:9] sb_1__1__0_chanx_right_out; +wire [0:9] sb_1__1__0_chany_bottom_out; +wire [0:9] sb_1__1__0_chany_top_out; +wire [0:0] sb_1__1__1_ccff_tail; +wire [0:9] sb_1__1__1_chanx_left_out; +wire [0:9] sb_1__1__1_chanx_right_out; +wire [0:9] sb_1__1__1_chany_bottom_out; +wire [0:9] sb_1__1__1_chany_top_out; +wire [0:0] sb_1__1__2_ccff_tail; +wire [0:9] sb_1__1__2_chanx_left_out; +wire [0:9] sb_1__1__2_chanx_right_out; +wire [0:9] sb_1__1__2_chany_bottom_out; +wire [0:9] sb_1__1__2_chany_top_out; +wire [0:0] sb_1__1__3_ccff_tail; +wire [0:9] sb_1__1__3_chanx_left_out; +wire [0:9] sb_1__1__3_chanx_right_out; +wire [0:9] sb_1__1__3_chany_bottom_out; +wire [0:9] sb_1__1__3_chany_top_out; +wire [0:0] sb_1__1__4_ccff_tail; +wire [0:9] sb_1__1__4_chanx_left_out; +wire [0:9] sb_1__1__4_chanx_right_out; +wire [0:9] sb_1__1__4_chany_bottom_out; +wire [0:9] sb_1__1__4_chany_top_out; +wire [0:0] sb_1__1__5_ccff_tail; +wire [0:9] sb_1__1__5_chanx_left_out; +wire [0:9] sb_1__1__5_chanx_right_out; +wire [0:9] sb_1__1__5_chany_bottom_out; +wire [0:9] sb_1__1__5_chany_top_out; +wire [0:0] sb_1__1__6_ccff_tail; +wire [0:9] sb_1__1__6_chanx_left_out; +wire [0:9] sb_1__1__6_chanx_right_out; +wire [0:9] sb_1__1__6_chany_bottom_out; +wire [0:9] sb_1__1__6_chany_top_out; +wire [0:0] sb_1__1__7_ccff_tail; +wire [0:9] sb_1__1__7_chanx_left_out; +wire [0:9] sb_1__1__7_chanx_right_out; +wire [0:9] sb_1__1__7_chany_bottom_out; +wire [0:9] sb_1__1__7_chany_top_out; +wire [0:0] sb_1__1__8_ccff_tail; +wire [0:9] sb_1__1__8_chanx_left_out; +wire [0:9] sb_1__1__8_chanx_right_out; +wire [0:9] sb_1__1__8_chany_bottom_out; +wire [0:9] sb_1__1__8_chany_top_out; +wire [0:0] sb_1__4__0_ccff_tail; +wire [0:9] sb_1__4__0_chanx_left_out; +wire [0:9] sb_1__4__0_chanx_right_out; +wire [0:9] sb_1__4__0_chany_bottom_out; +wire [0:0] sb_1__4__1_ccff_tail; +wire [0:9] sb_1__4__1_chanx_left_out; +wire [0:9] sb_1__4__1_chanx_right_out; +wire [0:9] sb_1__4__1_chany_bottom_out; +wire [0:0] sb_1__4__2_ccff_tail; +wire [0:9] sb_1__4__2_chanx_left_out; +wire [0:9] sb_1__4__2_chanx_right_out; +wire [0:9] sb_1__4__2_chany_bottom_out; +wire [0:0] sb_4__0__0_ccff_tail; +wire [0:9] sb_4__0__0_chanx_left_out; +wire [0:9] sb_4__0__0_chany_top_out; +wire [0:0] sb_4__1__0_ccff_tail; +wire [0:9] sb_4__1__0_chanx_left_out; +wire [0:9] sb_4__1__0_chany_bottom_out; +wire [0:9] sb_4__1__0_chany_top_out; +wire [0:0] sb_4__1__1_ccff_tail; +wire [0:9] sb_4__1__1_chanx_left_out; +wire [0:9] sb_4__1__1_chany_bottom_out; +wire [0:9] sb_4__1__1_chany_top_out; +wire [0:0] sb_4__1__2_ccff_tail; +wire [0:9] sb_4__1__2_chanx_left_out; +wire [0:9] sb_4__1__2_chany_bottom_out; +wire [0:9] sb_4__1__2_chany_top_out; +wire [0:0] sb_4__4__0_ccff_tail; +wire [0:9] sb_4__4__0_chanx_left_out; +wire [0:9] sb_4__4__0_chany_bottom_out; + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + grid_clb grid_clb_1__1_ ( + .prog_clk(prog_clk), + .set(set), + .reset(reset), + .clk(clk), + .top_width_0_height_0_subtile_0__pin_I_0_(cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_), + .top_width_0_height_0_subtile_0__pin_I_4_(cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_), + .top_width_0_height_0_subtile_0__pin_I_8_(cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_), + .right_width_0_height_0_subtile_0__pin_I_1_(cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I_1_), + .right_width_0_height_0_subtile_0__pin_I_5_(cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I_5_), + .right_width_0_height_0_subtile_0__pin_I_9_(cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I_9_), + .bottom_width_0_height_0_subtile_0__pin_I_2_(cbx_1__0__0_top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_), + .bottom_width_0_height_0_subtile_0__pin_I_6_(cbx_1__0__0_top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_), + .bottom_width_0_height_0_subtile_0__pin_clk_0_(cbx_1__0__0_top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_), + .left_width_0_height_0_subtile_0__pin_I_3_(cby_0__1__0_right_grid_left_width_0_height_0_subtile_0__pin_I_3_), + .left_width_0_height_0_subtile_0__pin_I_7_(cby_0__1__0_right_grid_left_width_0_height_0_subtile_0__pin_I_7_), + .ccff_head(cby_1__1__0_ccff_tail), + .top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_0_top_width_0_height_0_subtile_0__pin_O_2_), + .right_width_0_height_0_subtile_0__pin_O_3_(grid_clb_0_right_width_0_height_0_subtile_0__pin_O_3_), + .bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_0_bottom_width_0_height_0_subtile_0__pin_O_0_), + .left_width_0_height_0_subtile_0__pin_O_1_(grid_clb_0_left_width_0_height_0_subtile_0__pin_O_1_), + .ccff_tail(grid_clb_0_ccff_tail)); + + grid_clb grid_clb_1__2_ ( + .prog_clk(prog_clk), + .set(set), + .reset(reset), + .clk(clk), + .top_width_0_height_0_subtile_0__pin_I_0_(cbx_1__1__1_bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_), + .top_width_0_height_0_subtile_0__pin_I_4_(cbx_1__1__1_bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_), + .top_width_0_height_0_subtile_0__pin_I_8_(cbx_1__1__1_bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_), + .right_width_0_height_0_subtile_0__pin_I_1_(cby_1__1__1_left_grid_right_width_0_height_0_subtile_0__pin_I_1_), + .right_width_0_height_0_subtile_0__pin_I_5_(cby_1__1__1_left_grid_right_width_0_height_0_subtile_0__pin_I_5_), + .right_width_0_height_0_subtile_0__pin_I_9_(cby_1__1__1_left_grid_right_width_0_height_0_subtile_0__pin_I_9_), + .bottom_width_0_height_0_subtile_0__pin_I_2_(cbx_1__1__0_top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_), + .bottom_width_0_height_0_subtile_0__pin_I_6_(cbx_1__1__0_top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_), + .bottom_width_0_height_0_subtile_0__pin_clk_0_(cbx_1__1__0_top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_), + .left_width_0_height_0_subtile_0__pin_I_3_(cby_0__1__1_right_grid_left_width_0_height_0_subtile_0__pin_I_3_), + .left_width_0_height_0_subtile_0__pin_I_7_(cby_0__1__1_right_grid_left_width_0_height_0_subtile_0__pin_I_7_), + .ccff_head(cby_1__1__1_ccff_tail), + .top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_1_top_width_0_height_0_subtile_0__pin_O_2_), + .right_width_0_height_0_subtile_0__pin_O_3_(grid_clb_1_right_width_0_height_0_subtile_0__pin_O_3_), + .bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_1_bottom_width_0_height_0_subtile_0__pin_O_0_), + .left_width_0_height_0_subtile_0__pin_O_1_(grid_clb_1_left_width_0_height_0_subtile_0__pin_O_1_), + .ccff_tail(grid_clb_1_ccff_tail)); + + grid_clb grid_clb_1__3_ ( + .prog_clk(prog_clk), + .set(set), + .reset(reset), + .clk(clk), + .top_width_0_height_0_subtile_0__pin_I_0_(cbx_1__1__2_bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_), + .top_width_0_height_0_subtile_0__pin_I_4_(cbx_1__1__2_bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_), + .top_width_0_height_0_subtile_0__pin_I_8_(cbx_1__1__2_bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_), + .right_width_0_height_0_subtile_0__pin_I_1_(cby_1__1__2_left_grid_right_width_0_height_0_subtile_0__pin_I_1_), + .right_width_0_height_0_subtile_0__pin_I_5_(cby_1__1__2_left_grid_right_width_0_height_0_subtile_0__pin_I_5_), + .right_width_0_height_0_subtile_0__pin_I_9_(cby_1__1__2_left_grid_right_width_0_height_0_subtile_0__pin_I_9_), + .bottom_width_0_height_0_subtile_0__pin_I_2_(cbx_1__1__1_top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_), + .bottom_width_0_height_0_subtile_0__pin_I_6_(cbx_1__1__1_top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_), + .bottom_width_0_height_0_subtile_0__pin_clk_0_(cbx_1__1__1_top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_), + .left_width_0_height_0_subtile_0__pin_I_3_(cby_0__1__2_right_grid_left_width_0_height_0_subtile_0__pin_I_3_), + .left_width_0_height_0_subtile_0__pin_I_7_(cby_0__1__2_right_grid_left_width_0_height_0_subtile_0__pin_I_7_), + .ccff_head(cby_1__1__2_ccff_tail), + .top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_2_top_width_0_height_0_subtile_0__pin_O_2_), + .right_width_0_height_0_subtile_0__pin_O_3_(grid_clb_2_right_width_0_height_0_subtile_0__pin_O_3_), + .bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_2_bottom_width_0_height_0_subtile_0__pin_O_0_), + .left_width_0_height_0_subtile_0__pin_O_1_(grid_clb_2_left_width_0_height_0_subtile_0__pin_O_1_), + .ccff_tail(grid_clb_2_ccff_tail)); + + grid_clb grid_clb_1__4_ ( + .prog_clk(prog_clk), + .set(set), + .reset(reset), + .clk(clk), + .top_width_0_height_0_subtile_0__pin_I_0_(cbx_1__4__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_), + .top_width_0_height_0_subtile_0__pin_I_4_(cbx_1__4__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_), + .top_width_0_height_0_subtile_0__pin_I_8_(cbx_1__4__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_), + .right_width_0_height_0_subtile_0__pin_I_1_(cby_1__1__3_left_grid_right_width_0_height_0_subtile_0__pin_I_1_), + .right_width_0_height_0_subtile_0__pin_I_5_(cby_1__1__3_left_grid_right_width_0_height_0_subtile_0__pin_I_5_), + .right_width_0_height_0_subtile_0__pin_I_9_(cby_1__1__3_left_grid_right_width_0_height_0_subtile_0__pin_I_9_), + .bottom_width_0_height_0_subtile_0__pin_I_2_(cbx_1__1__2_top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_), + .bottom_width_0_height_0_subtile_0__pin_I_6_(cbx_1__1__2_top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_), + .bottom_width_0_height_0_subtile_0__pin_clk_0_(cbx_1__1__2_top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_), + .left_width_0_height_0_subtile_0__pin_I_3_(cby_0__1__3_right_grid_left_width_0_height_0_subtile_0__pin_I_3_), + .left_width_0_height_0_subtile_0__pin_I_7_(cby_0__1__3_right_grid_left_width_0_height_0_subtile_0__pin_I_7_), + .ccff_head(cby_1__1__3_ccff_tail), + .top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_3_top_width_0_height_0_subtile_0__pin_O_2_), + .right_width_0_height_0_subtile_0__pin_O_3_(grid_clb_3_right_width_0_height_0_subtile_0__pin_O_3_), + .bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_3_bottom_width_0_height_0_subtile_0__pin_O_0_), + .left_width_0_height_0_subtile_0__pin_O_1_(grid_clb_3_left_width_0_height_0_subtile_0__pin_O_1_), + .ccff_tail(ccff_tail)); + + grid_clb grid_clb_2__1_ ( + .prog_clk(prog_clk), + .set(set), + .reset(reset), + .clk(clk), + .top_width_0_height_0_subtile_0__pin_I_0_(cbx_1__1__3_bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_), + .top_width_0_height_0_subtile_0__pin_I_4_(cbx_1__1__3_bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_), + .top_width_0_height_0_subtile_0__pin_I_8_(cbx_1__1__3_bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_), + .right_width_0_height_0_subtile_0__pin_I_1_(cby_1__1__4_left_grid_right_width_0_height_0_subtile_0__pin_I_1_), + .right_width_0_height_0_subtile_0__pin_I_5_(cby_1__1__4_left_grid_right_width_0_height_0_subtile_0__pin_I_5_), + .right_width_0_height_0_subtile_0__pin_I_9_(cby_1__1__4_left_grid_right_width_0_height_0_subtile_0__pin_I_9_), + .bottom_width_0_height_0_subtile_0__pin_I_2_(cbx_1__0__1_top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_), + .bottom_width_0_height_0_subtile_0__pin_I_6_(cbx_1__0__1_top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_), + .bottom_width_0_height_0_subtile_0__pin_clk_0_(cbx_1__0__1_top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_), + .left_width_0_height_0_subtile_0__pin_I_3_(cby_1__1__0_right_grid_left_width_0_height_0_subtile_0__pin_I_3_), + .left_width_0_height_0_subtile_0__pin_I_7_(cby_1__1__0_right_grid_left_width_0_height_0_subtile_0__pin_I_7_), + .ccff_head(cby_1__1__4_ccff_tail), + .top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_4_top_width_0_height_0_subtile_0__pin_O_2_), + .right_width_0_height_0_subtile_0__pin_O_3_(grid_clb_4_right_width_0_height_0_subtile_0__pin_O_3_), + .bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_4_bottom_width_0_height_0_subtile_0__pin_O_0_), + .left_width_0_height_0_subtile_0__pin_O_1_(grid_clb_4_left_width_0_height_0_subtile_0__pin_O_1_), + .ccff_tail(grid_clb_4_ccff_tail)); + + grid_clb grid_clb_2__2_ ( + .prog_clk(prog_clk), + .set(set), + .reset(reset), + .clk(clk), + .top_width_0_height_0_subtile_0__pin_I_0_(cbx_1__1__4_bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_), + .top_width_0_height_0_subtile_0__pin_I_4_(cbx_1__1__4_bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_), + .top_width_0_height_0_subtile_0__pin_I_8_(cbx_1__1__4_bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_), + .right_width_0_height_0_subtile_0__pin_I_1_(cby_1__1__5_left_grid_right_width_0_height_0_subtile_0__pin_I_1_), + .right_width_0_height_0_subtile_0__pin_I_5_(cby_1__1__5_left_grid_right_width_0_height_0_subtile_0__pin_I_5_), + .right_width_0_height_0_subtile_0__pin_I_9_(cby_1__1__5_left_grid_right_width_0_height_0_subtile_0__pin_I_9_), + .bottom_width_0_height_0_subtile_0__pin_I_2_(cbx_1__1__3_top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_), + .bottom_width_0_height_0_subtile_0__pin_I_6_(cbx_1__1__3_top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_), + .bottom_width_0_height_0_subtile_0__pin_clk_0_(cbx_1__1__3_top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_), + .left_width_0_height_0_subtile_0__pin_I_3_(cby_1__1__1_right_grid_left_width_0_height_0_subtile_0__pin_I_3_), + .left_width_0_height_0_subtile_0__pin_I_7_(cby_1__1__1_right_grid_left_width_0_height_0_subtile_0__pin_I_7_), + .ccff_head(cby_1__1__5_ccff_tail), + .top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_5_top_width_0_height_0_subtile_0__pin_O_2_), + .right_width_0_height_0_subtile_0__pin_O_3_(grid_clb_5_right_width_0_height_0_subtile_0__pin_O_3_), + .bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_5_bottom_width_0_height_0_subtile_0__pin_O_0_), + .left_width_0_height_0_subtile_0__pin_O_1_(grid_clb_5_left_width_0_height_0_subtile_0__pin_O_1_), + .ccff_tail(grid_clb_5_ccff_tail)); + + grid_clb grid_clb_2__3_ ( + .prog_clk(prog_clk), + .set(set), + .reset(reset), + .clk(clk), + .top_width_0_height_0_subtile_0__pin_I_0_(cbx_1__1__5_bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_), + .top_width_0_height_0_subtile_0__pin_I_4_(cbx_1__1__5_bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_), + .top_width_0_height_0_subtile_0__pin_I_8_(cbx_1__1__5_bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_), + .right_width_0_height_0_subtile_0__pin_I_1_(cby_1__1__6_left_grid_right_width_0_height_0_subtile_0__pin_I_1_), + .right_width_0_height_0_subtile_0__pin_I_5_(cby_1__1__6_left_grid_right_width_0_height_0_subtile_0__pin_I_5_), + .right_width_0_height_0_subtile_0__pin_I_9_(cby_1__1__6_left_grid_right_width_0_height_0_subtile_0__pin_I_9_), + .bottom_width_0_height_0_subtile_0__pin_I_2_(cbx_1__1__4_top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_), + .bottom_width_0_height_0_subtile_0__pin_I_6_(cbx_1__1__4_top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_), + .bottom_width_0_height_0_subtile_0__pin_clk_0_(cbx_1__1__4_top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_), + .left_width_0_height_0_subtile_0__pin_I_3_(cby_1__1__2_right_grid_left_width_0_height_0_subtile_0__pin_I_3_), + .left_width_0_height_0_subtile_0__pin_I_7_(cby_1__1__2_right_grid_left_width_0_height_0_subtile_0__pin_I_7_), + .ccff_head(cby_1__1__6_ccff_tail), + .top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_6_top_width_0_height_0_subtile_0__pin_O_2_), + .right_width_0_height_0_subtile_0__pin_O_3_(grid_clb_6_right_width_0_height_0_subtile_0__pin_O_3_), + .bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_6_bottom_width_0_height_0_subtile_0__pin_O_0_), + .left_width_0_height_0_subtile_0__pin_O_1_(grid_clb_6_left_width_0_height_0_subtile_0__pin_O_1_), + .ccff_tail(grid_clb_6_ccff_tail)); + + grid_clb grid_clb_2__4_ ( + .prog_clk(prog_clk), + .set(set), + .reset(reset), + .clk(clk), + .top_width_0_height_0_subtile_0__pin_I_0_(cbx_1__4__1_bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_), + .top_width_0_height_0_subtile_0__pin_I_4_(cbx_1__4__1_bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_), + .top_width_0_height_0_subtile_0__pin_I_8_(cbx_1__4__1_bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_), + .right_width_0_height_0_subtile_0__pin_I_1_(cby_1__1__7_left_grid_right_width_0_height_0_subtile_0__pin_I_1_), + .right_width_0_height_0_subtile_0__pin_I_5_(cby_1__1__7_left_grid_right_width_0_height_0_subtile_0__pin_I_5_), + .right_width_0_height_0_subtile_0__pin_I_9_(cby_1__1__7_left_grid_right_width_0_height_0_subtile_0__pin_I_9_), + .bottom_width_0_height_0_subtile_0__pin_I_2_(cbx_1__1__5_top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_), + .bottom_width_0_height_0_subtile_0__pin_I_6_(cbx_1__1__5_top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_), + .bottom_width_0_height_0_subtile_0__pin_clk_0_(cbx_1__1__5_top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_), + .left_width_0_height_0_subtile_0__pin_I_3_(cby_1__1__3_right_grid_left_width_0_height_0_subtile_0__pin_I_3_), + .left_width_0_height_0_subtile_0__pin_I_7_(cby_1__1__3_right_grid_left_width_0_height_0_subtile_0__pin_I_7_), + .ccff_head(cby_1__1__7_ccff_tail), + .top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_7_top_width_0_height_0_subtile_0__pin_O_2_), + .right_width_0_height_0_subtile_0__pin_O_3_(grid_clb_7_right_width_0_height_0_subtile_0__pin_O_3_), + .bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_7_bottom_width_0_height_0_subtile_0__pin_O_0_), + .left_width_0_height_0_subtile_0__pin_O_1_(grid_clb_7_left_width_0_height_0_subtile_0__pin_O_1_), + .ccff_tail(grid_clb_7_ccff_tail)); + + grid_clb grid_clb_3__1_ ( + .prog_clk(prog_clk), + .set(set), + .reset(reset), + .clk(clk), + .top_width_0_height_0_subtile_0__pin_I_0_(cbx_1__1__6_bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_), + .top_width_0_height_0_subtile_0__pin_I_4_(cbx_1__1__6_bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_), + .top_width_0_height_0_subtile_0__pin_I_8_(cbx_1__1__6_bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_), + .right_width_0_height_0_subtile_0__pin_I_1_(cby_1__1__8_left_grid_right_width_0_height_0_subtile_0__pin_I_1_), + .right_width_0_height_0_subtile_0__pin_I_5_(cby_1__1__8_left_grid_right_width_0_height_0_subtile_0__pin_I_5_), + .right_width_0_height_0_subtile_0__pin_I_9_(cby_1__1__8_left_grid_right_width_0_height_0_subtile_0__pin_I_9_), + .bottom_width_0_height_0_subtile_0__pin_I_2_(cbx_1__0__2_top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_), + .bottom_width_0_height_0_subtile_0__pin_I_6_(cbx_1__0__2_top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_), + .bottom_width_0_height_0_subtile_0__pin_clk_0_(cbx_1__0__2_top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_), + .left_width_0_height_0_subtile_0__pin_I_3_(cby_1__1__4_right_grid_left_width_0_height_0_subtile_0__pin_I_3_), + .left_width_0_height_0_subtile_0__pin_I_7_(cby_1__1__4_right_grid_left_width_0_height_0_subtile_0__pin_I_7_), + .ccff_head(cby_1__1__8_ccff_tail), + .top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_8_top_width_0_height_0_subtile_0__pin_O_2_), + .right_width_0_height_0_subtile_0__pin_O_3_(grid_clb_8_right_width_0_height_0_subtile_0__pin_O_3_), + .bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_8_bottom_width_0_height_0_subtile_0__pin_O_0_), + .left_width_0_height_0_subtile_0__pin_O_1_(grid_clb_8_left_width_0_height_0_subtile_0__pin_O_1_), + .ccff_tail(grid_clb_8_ccff_tail)); + + grid_clb grid_clb_3__2_ ( + .prog_clk(prog_clk), + .set(set), + .reset(reset), + .clk(clk), + .top_width_0_height_0_subtile_0__pin_I_0_(cbx_1__1__7_bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_), + .top_width_0_height_0_subtile_0__pin_I_4_(cbx_1__1__7_bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_), + .top_width_0_height_0_subtile_0__pin_I_8_(cbx_1__1__7_bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_), + .right_width_0_height_0_subtile_0__pin_I_1_(cby_1__1__9_left_grid_right_width_0_height_0_subtile_0__pin_I_1_), + .right_width_0_height_0_subtile_0__pin_I_5_(cby_1__1__9_left_grid_right_width_0_height_0_subtile_0__pin_I_5_), + .right_width_0_height_0_subtile_0__pin_I_9_(cby_1__1__9_left_grid_right_width_0_height_0_subtile_0__pin_I_9_), + .bottom_width_0_height_0_subtile_0__pin_I_2_(cbx_1__1__6_top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_), + .bottom_width_0_height_0_subtile_0__pin_I_6_(cbx_1__1__6_top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_), + .bottom_width_0_height_0_subtile_0__pin_clk_0_(cbx_1__1__6_top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_), + .left_width_0_height_0_subtile_0__pin_I_3_(cby_1__1__5_right_grid_left_width_0_height_0_subtile_0__pin_I_3_), + .left_width_0_height_0_subtile_0__pin_I_7_(cby_1__1__5_right_grid_left_width_0_height_0_subtile_0__pin_I_7_), + .ccff_head(cby_1__1__9_ccff_tail), + .top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_9_top_width_0_height_0_subtile_0__pin_O_2_), + .right_width_0_height_0_subtile_0__pin_O_3_(grid_clb_9_right_width_0_height_0_subtile_0__pin_O_3_), + .bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_9_bottom_width_0_height_0_subtile_0__pin_O_0_), + .left_width_0_height_0_subtile_0__pin_O_1_(grid_clb_9_left_width_0_height_0_subtile_0__pin_O_1_), + .ccff_tail(grid_clb_9_ccff_tail)); + + grid_clb grid_clb_3__3_ ( + .prog_clk(prog_clk), + .set(set), + .reset(reset), + .clk(clk), + .top_width_0_height_0_subtile_0__pin_I_0_(cbx_1__1__8_bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_), + .top_width_0_height_0_subtile_0__pin_I_4_(cbx_1__1__8_bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_), + .top_width_0_height_0_subtile_0__pin_I_8_(cbx_1__1__8_bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_), + .right_width_0_height_0_subtile_0__pin_I_1_(cby_1__1__10_left_grid_right_width_0_height_0_subtile_0__pin_I_1_), + .right_width_0_height_0_subtile_0__pin_I_5_(cby_1__1__10_left_grid_right_width_0_height_0_subtile_0__pin_I_5_), + .right_width_0_height_0_subtile_0__pin_I_9_(cby_1__1__10_left_grid_right_width_0_height_0_subtile_0__pin_I_9_), + .bottom_width_0_height_0_subtile_0__pin_I_2_(cbx_1__1__7_top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_), + .bottom_width_0_height_0_subtile_0__pin_I_6_(cbx_1__1__7_top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_), + .bottom_width_0_height_0_subtile_0__pin_clk_0_(cbx_1__1__7_top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_), + .left_width_0_height_0_subtile_0__pin_I_3_(cby_1__1__6_right_grid_left_width_0_height_0_subtile_0__pin_I_3_), + .left_width_0_height_0_subtile_0__pin_I_7_(cby_1__1__6_right_grid_left_width_0_height_0_subtile_0__pin_I_7_), + .ccff_head(cby_1__1__10_ccff_tail), + .top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_10_top_width_0_height_0_subtile_0__pin_O_2_), + .right_width_0_height_0_subtile_0__pin_O_3_(grid_clb_10_right_width_0_height_0_subtile_0__pin_O_3_), + .bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_10_bottom_width_0_height_0_subtile_0__pin_O_0_), + .left_width_0_height_0_subtile_0__pin_O_1_(grid_clb_10_left_width_0_height_0_subtile_0__pin_O_1_), + .ccff_tail(grid_clb_10_ccff_tail)); + + grid_clb grid_clb_3__4_ ( + .prog_clk(prog_clk), + .set(set), + .reset(reset), + .clk(clk), + .top_width_0_height_0_subtile_0__pin_I_0_(cbx_1__4__2_bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_), + .top_width_0_height_0_subtile_0__pin_I_4_(cbx_1__4__2_bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_), + .top_width_0_height_0_subtile_0__pin_I_8_(cbx_1__4__2_bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_), + .right_width_0_height_0_subtile_0__pin_I_1_(cby_1__1__11_left_grid_right_width_0_height_0_subtile_0__pin_I_1_), + .right_width_0_height_0_subtile_0__pin_I_5_(cby_1__1__11_left_grid_right_width_0_height_0_subtile_0__pin_I_5_), + .right_width_0_height_0_subtile_0__pin_I_9_(cby_1__1__11_left_grid_right_width_0_height_0_subtile_0__pin_I_9_), + .bottom_width_0_height_0_subtile_0__pin_I_2_(cbx_1__1__8_top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_), + .bottom_width_0_height_0_subtile_0__pin_I_6_(cbx_1__1__8_top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_), + .bottom_width_0_height_0_subtile_0__pin_clk_0_(cbx_1__1__8_top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_), + .left_width_0_height_0_subtile_0__pin_I_3_(cby_1__1__7_right_grid_left_width_0_height_0_subtile_0__pin_I_3_), + .left_width_0_height_0_subtile_0__pin_I_7_(cby_1__1__7_right_grid_left_width_0_height_0_subtile_0__pin_I_7_), + .ccff_head(cby_1__1__11_ccff_tail), + .top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_11_top_width_0_height_0_subtile_0__pin_O_2_), + .right_width_0_height_0_subtile_0__pin_O_3_(grid_clb_11_right_width_0_height_0_subtile_0__pin_O_3_), + .bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_11_bottom_width_0_height_0_subtile_0__pin_O_0_), + .left_width_0_height_0_subtile_0__pin_O_1_(grid_clb_11_left_width_0_height_0_subtile_0__pin_O_1_), + .ccff_tail(grid_clb_11_ccff_tail)); + + grid_clb grid_clb_4__1_ ( + .prog_clk(prog_clk), + .set(set), + .reset(reset), + .clk(clk), + .top_width_0_height_0_subtile_0__pin_I_0_(cbx_1__1__9_bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_), + .top_width_0_height_0_subtile_0__pin_I_4_(cbx_1__1__9_bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_), + .top_width_0_height_0_subtile_0__pin_I_8_(cbx_1__1__9_bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_), + .right_width_0_height_0_subtile_0__pin_I_1_(cby_4__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I_1_), + .right_width_0_height_0_subtile_0__pin_I_5_(cby_4__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I_5_), + .right_width_0_height_0_subtile_0__pin_I_9_(cby_4__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I_9_), + .bottom_width_0_height_0_subtile_0__pin_I_2_(cbx_1__0__3_top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_), + .bottom_width_0_height_0_subtile_0__pin_I_6_(cbx_1__0__3_top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_), + .bottom_width_0_height_0_subtile_0__pin_clk_0_(cbx_1__0__3_top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_), + .left_width_0_height_0_subtile_0__pin_I_3_(cby_1__1__8_right_grid_left_width_0_height_0_subtile_0__pin_I_3_), + .left_width_0_height_0_subtile_0__pin_I_7_(cby_1__1__8_right_grid_left_width_0_height_0_subtile_0__pin_I_7_), + .ccff_head(cby_4__1__0_ccff_tail), + .top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_12_top_width_0_height_0_subtile_0__pin_O_2_), + .right_width_0_height_0_subtile_0__pin_O_3_(grid_clb_12_right_width_0_height_0_subtile_0__pin_O_3_), + .bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_12_bottom_width_0_height_0_subtile_0__pin_O_0_), + .left_width_0_height_0_subtile_0__pin_O_1_(grid_clb_12_left_width_0_height_0_subtile_0__pin_O_1_), + .ccff_tail(grid_clb_12_ccff_tail)); + + grid_clb grid_clb_4__2_ ( + .prog_clk(prog_clk), + .set(set), + .reset(reset), + .clk(clk), + .top_width_0_height_0_subtile_0__pin_I_0_(cbx_1__1__10_bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_), + .top_width_0_height_0_subtile_0__pin_I_4_(cbx_1__1__10_bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_), + .top_width_0_height_0_subtile_0__pin_I_8_(cbx_1__1__10_bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_), + .right_width_0_height_0_subtile_0__pin_I_1_(cby_4__1__1_left_grid_right_width_0_height_0_subtile_0__pin_I_1_), + .right_width_0_height_0_subtile_0__pin_I_5_(cby_4__1__1_left_grid_right_width_0_height_0_subtile_0__pin_I_5_), + .right_width_0_height_0_subtile_0__pin_I_9_(cby_4__1__1_left_grid_right_width_0_height_0_subtile_0__pin_I_9_), + .bottom_width_0_height_0_subtile_0__pin_I_2_(cbx_1__1__9_top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_), + .bottom_width_0_height_0_subtile_0__pin_I_6_(cbx_1__1__9_top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_), + .bottom_width_0_height_0_subtile_0__pin_clk_0_(cbx_1__1__9_top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_), + .left_width_0_height_0_subtile_0__pin_I_3_(cby_1__1__9_right_grid_left_width_0_height_0_subtile_0__pin_I_3_), + .left_width_0_height_0_subtile_0__pin_I_7_(cby_1__1__9_right_grid_left_width_0_height_0_subtile_0__pin_I_7_), + .ccff_head(cby_4__1__1_ccff_tail), + .top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_13_top_width_0_height_0_subtile_0__pin_O_2_), + .right_width_0_height_0_subtile_0__pin_O_3_(grid_clb_13_right_width_0_height_0_subtile_0__pin_O_3_), + .bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_13_bottom_width_0_height_0_subtile_0__pin_O_0_), + .left_width_0_height_0_subtile_0__pin_O_1_(grid_clb_13_left_width_0_height_0_subtile_0__pin_O_1_), + .ccff_tail(grid_clb_13_ccff_tail)); + + grid_clb grid_clb_4__3_ ( + .prog_clk(prog_clk), + .set(set), + .reset(reset), + .clk(clk), + .top_width_0_height_0_subtile_0__pin_I_0_(cbx_1__1__11_bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_), + .top_width_0_height_0_subtile_0__pin_I_4_(cbx_1__1__11_bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_), + .top_width_0_height_0_subtile_0__pin_I_8_(cbx_1__1__11_bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_), + .right_width_0_height_0_subtile_0__pin_I_1_(cby_4__1__2_left_grid_right_width_0_height_0_subtile_0__pin_I_1_), + .right_width_0_height_0_subtile_0__pin_I_5_(cby_4__1__2_left_grid_right_width_0_height_0_subtile_0__pin_I_5_), + .right_width_0_height_0_subtile_0__pin_I_9_(cby_4__1__2_left_grid_right_width_0_height_0_subtile_0__pin_I_9_), + .bottom_width_0_height_0_subtile_0__pin_I_2_(cbx_1__1__10_top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_), + .bottom_width_0_height_0_subtile_0__pin_I_6_(cbx_1__1__10_top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_), + .bottom_width_0_height_0_subtile_0__pin_clk_0_(cbx_1__1__10_top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_), + .left_width_0_height_0_subtile_0__pin_I_3_(cby_1__1__10_right_grid_left_width_0_height_0_subtile_0__pin_I_3_), + .left_width_0_height_0_subtile_0__pin_I_7_(cby_1__1__10_right_grid_left_width_0_height_0_subtile_0__pin_I_7_), + .ccff_head(cby_4__1__2_ccff_tail), + .top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_14_top_width_0_height_0_subtile_0__pin_O_2_), + .right_width_0_height_0_subtile_0__pin_O_3_(grid_clb_14_right_width_0_height_0_subtile_0__pin_O_3_), + .bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_14_bottom_width_0_height_0_subtile_0__pin_O_0_), + .left_width_0_height_0_subtile_0__pin_O_1_(grid_clb_14_left_width_0_height_0_subtile_0__pin_O_1_), + .ccff_tail(grid_clb_14_ccff_tail)); + + grid_clb grid_clb_4__4_ ( + .prog_clk(prog_clk), + .set(set), + .reset(reset), + .clk(clk), + .top_width_0_height_0_subtile_0__pin_I_0_(cbx_1__4__3_bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_), + .top_width_0_height_0_subtile_0__pin_I_4_(cbx_1__4__3_bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_), + .top_width_0_height_0_subtile_0__pin_I_8_(cbx_1__4__3_bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_), + .right_width_0_height_0_subtile_0__pin_I_1_(cby_4__1__3_left_grid_right_width_0_height_0_subtile_0__pin_I_1_), + .right_width_0_height_0_subtile_0__pin_I_5_(cby_4__1__3_left_grid_right_width_0_height_0_subtile_0__pin_I_5_), + .right_width_0_height_0_subtile_0__pin_I_9_(cby_4__1__3_left_grid_right_width_0_height_0_subtile_0__pin_I_9_), + .bottom_width_0_height_0_subtile_0__pin_I_2_(cbx_1__1__11_top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_), + .bottom_width_0_height_0_subtile_0__pin_I_6_(cbx_1__1__11_top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_), + .bottom_width_0_height_0_subtile_0__pin_clk_0_(cbx_1__1__11_top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_), + .left_width_0_height_0_subtile_0__pin_I_3_(cby_1__1__11_right_grid_left_width_0_height_0_subtile_0__pin_I_3_), + .left_width_0_height_0_subtile_0__pin_I_7_(cby_1__1__11_right_grid_left_width_0_height_0_subtile_0__pin_I_7_), + .ccff_head(cby_4__1__3_ccff_tail), + .top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_15_top_width_0_height_0_subtile_0__pin_O_2_), + .right_width_0_height_0_subtile_0__pin_O_3_(grid_clb_15_right_width_0_height_0_subtile_0__pin_O_3_), + .bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_15_bottom_width_0_height_0_subtile_0__pin_O_0_), + .left_width_0_height_0_subtile_0__pin_O_1_(grid_clb_15_left_width_0_height_0_subtile_0__pin_O_1_), + .ccff_tail(grid_clb_15_ccff_tail)); + + grid_io_top grid_io_top_1__5_ ( + .prog_clk(prog_clk), + .gfpga_pad_GPIO_PAD(gfpga_pad_GPIO_PAD[0:7]), + .bottom_width_0_height_0_subtile_0__pin_outpad_0_(cbx_1__4__0_top_grid_bottom_width_0_height_0_subtile_0__pin_outpad_0_), + .bottom_width_0_height_0_subtile_1__pin_outpad_0_(cbx_1__4__0_top_grid_bottom_width_0_height_0_subtile_1__pin_outpad_0_), + .bottom_width_0_height_0_subtile_2__pin_outpad_0_(cbx_1__4__0_top_grid_bottom_width_0_height_0_subtile_2__pin_outpad_0_), + .bottom_width_0_height_0_subtile_3__pin_outpad_0_(cbx_1__4__0_top_grid_bottom_width_0_height_0_subtile_3__pin_outpad_0_), + .bottom_width_0_height_0_subtile_4__pin_outpad_0_(cbx_1__4__0_top_grid_bottom_width_0_height_0_subtile_4__pin_outpad_0_), + .bottom_width_0_height_0_subtile_5__pin_outpad_0_(cbx_1__4__0_top_grid_bottom_width_0_height_0_subtile_5__pin_outpad_0_), + .bottom_width_0_height_0_subtile_6__pin_outpad_0_(cbx_1__4__0_top_grid_bottom_width_0_height_0_subtile_6__pin_outpad_0_), + .bottom_width_0_height_0_subtile_7__pin_outpad_0_(cbx_1__4__0_top_grid_bottom_width_0_height_0_subtile_7__pin_outpad_0_), + .ccff_head(cbx_1__4__0_ccff_tail), + .bottom_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_top_0_bottom_width_0_height_0_subtile_0__pin_inpad_0_), + .bottom_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_top_0_bottom_width_0_height_0_subtile_1__pin_inpad_0_), + .bottom_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_top_0_bottom_width_0_height_0_subtile_2__pin_inpad_0_), + .bottom_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_top_0_bottom_width_0_height_0_subtile_3__pin_inpad_0_), + .bottom_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_top_0_bottom_width_0_height_0_subtile_4__pin_inpad_0_), + .bottom_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_top_0_bottom_width_0_height_0_subtile_5__pin_inpad_0_), + .bottom_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_top_0_bottom_width_0_height_0_subtile_6__pin_inpad_0_), + .bottom_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_top_0_bottom_width_0_height_0_subtile_7__pin_inpad_0_), + .ccff_tail(grid_io_top_0_ccff_tail)); + + grid_io_top grid_io_top_2__5_ ( + .prog_clk(prog_clk), + .gfpga_pad_GPIO_PAD(gfpga_pad_GPIO_PAD[8:15]), + .bottom_width_0_height_0_subtile_0__pin_outpad_0_(cbx_1__4__1_top_grid_bottom_width_0_height_0_subtile_0__pin_outpad_0_), + .bottom_width_0_height_0_subtile_1__pin_outpad_0_(cbx_1__4__1_top_grid_bottom_width_0_height_0_subtile_1__pin_outpad_0_), + .bottom_width_0_height_0_subtile_2__pin_outpad_0_(cbx_1__4__1_top_grid_bottom_width_0_height_0_subtile_2__pin_outpad_0_), + .bottom_width_0_height_0_subtile_3__pin_outpad_0_(cbx_1__4__1_top_grid_bottom_width_0_height_0_subtile_3__pin_outpad_0_), + .bottom_width_0_height_0_subtile_4__pin_outpad_0_(cbx_1__4__1_top_grid_bottom_width_0_height_0_subtile_4__pin_outpad_0_), + .bottom_width_0_height_0_subtile_5__pin_outpad_0_(cbx_1__4__1_top_grid_bottom_width_0_height_0_subtile_5__pin_outpad_0_), + .bottom_width_0_height_0_subtile_6__pin_outpad_0_(cbx_1__4__1_top_grid_bottom_width_0_height_0_subtile_6__pin_outpad_0_), + .bottom_width_0_height_0_subtile_7__pin_outpad_0_(cbx_1__4__1_top_grid_bottom_width_0_height_0_subtile_7__pin_outpad_0_), + .ccff_head(cbx_1__4__1_ccff_tail), + .bottom_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_top_1_bottom_width_0_height_0_subtile_0__pin_inpad_0_), + .bottom_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_top_1_bottom_width_0_height_0_subtile_1__pin_inpad_0_), + .bottom_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_top_1_bottom_width_0_height_0_subtile_2__pin_inpad_0_), + .bottom_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_top_1_bottom_width_0_height_0_subtile_3__pin_inpad_0_), + .bottom_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_top_1_bottom_width_0_height_0_subtile_4__pin_inpad_0_), + .bottom_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_top_1_bottom_width_0_height_0_subtile_5__pin_inpad_0_), + .bottom_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_top_1_bottom_width_0_height_0_subtile_6__pin_inpad_0_), + .bottom_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_top_1_bottom_width_0_height_0_subtile_7__pin_inpad_0_), + .ccff_tail(grid_io_top_1_ccff_tail)); + + grid_io_top grid_io_top_3__5_ ( + .prog_clk(prog_clk), + .gfpga_pad_GPIO_PAD(gfpga_pad_GPIO_PAD[16:23]), + .bottom_width_0_height_0_subtile_0__pin_outpad_0_(cbx_1__4__2_top_grid_bottom_width_0_height_0_subtile_0__pin_outpad_0_), + .bottom_width_0_height_0_subtile_1__pin_outpad_0_(cbx_1__4__2_top_grid_bottom_width_0_height_0_subtile_1__pin_outpad_0_), + .bottom_width_0_height_0_subtile_2__pin_outpad_0_(cbx_1__4__2_top_grid_bottom_width_0_height_0_subtile_2__pin_outpad_0_), + .bottom_width_0_height_0_subtile_3__pin_outpad_0_(cbx_1__4__2_top_grid_bottom_width_0_height_0_subtile_3__pin_outpad_0_), + .bottom_width_0_height_0_subtile_4__pin_outpad_0_(cbx_1__4__2_top_grid_bottom_width_0_height_0_subtile_4__pin_outpad_0_), + .bottom_width_0_height_0_subtile_5__pin_outpad_0_(cbx_1__4__2_top_grid_bottom_width_0_height_0_subtile_5__pin_outpad_0_), + .bottom_width_0_height_0_subtile_6__pin_outpad_0_(cbx_1__4__2_top_grid_bottom_width_0_height_0_subtile_6__pin_outpad_0_), + .bottom_width_0_height_0_subtile_7__pin_outpad_0_(cbx_1__4__2_top_grid_bottom_width_0_height_0_subtile_7__pin_outpad_0_), + .ccff_head(cbx_1__4__2_ccff_tail), + .bottom_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_top_2_bottom_width_0_height_0_subtile_0__pin_inpad_0_), + .bottom_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_top_2_bottom_width_0_height_0_subtile_1__pin_inpad_0_), + .bottom_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_top_2_bottom_width_0_height_0_subtile_2__pin_inpad_0_), + .bottom_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_top_2_bottom_width_0_height_0_subtile_3__pin_inpad_0_), + .bottom_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_top_2_bottom_width_0_height_0_subtile_4__pin_inpad_0_), + .bottom_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_top_2_bottom_width_0_height_0_subtile_5__pin_inpad_0_), + .bottom_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_top_2_bottom_width_0_height_0_subtile_6__pin_inpad_0_), + .bottom_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_top_2_bottom_width_0_height_0_subtile_7__pin_inpad_0_), + .ccff_tail(grid_io_top_2_ccff_tail)); + + grid_io_top grid_io_top_4__5_ ( + .prog_clk(prog_clk), + .gfpga_pad_GPIO_PAD(gfpga_pad_GPIO_PAD[24:31]), + .bottom_width_0_height_0_subtile_0__pin_outpad_0_(cbx_1__4__3_top_grid_bottom_width_0_height_0_subtile_0__pin_outpad_0_), + .bottom_width_0_height_0_subtile_1__pin_outpad_0_(cbx_1__4__3_top_grid_bottom_width_0_height_0_subtile_1__pin_outpad_0_), + .bottom_width_0_height_0_subtile_2__pin_outpad_0_(cbx_1__4__3_top_grid_bottom_width_0_height_0_subtile_2__pin_outpad_0_), + .bottom_width_0_height_0_subtile_3__pin_outpad_0_(cbx_1__4__3_top_grid_bottom_width_0_height_0_subtile_3__pin_outpad_0_), + .bottom_width_0_height_0_subtile_4__pin_outpad_0_(cbx_1__4__3_top_grid_bottom_width_0_height_0_subtile_4__pin_outpad_0_), + .bottom_width_0_height_0_subtile_5__pin_outpad_0_(cbx_1__4__3_top_grid_bottom_width_0_height_0_subtile_5__pin_outpad_0_), + .bottom_width_0_height_0_subtile_6__pin_outpad_0_(cbx_1__4__3_top_grid_bottom_width_0_height_0_subtile_6__pin_outpad_0_), + .bottom_width_0_height_0_subtile_7__pin_outpad_0_(cbx_1__4__3_top_grid_bottom_width_0_height_0_subtile_7__pin_outpad_0_), + .ccff_head(cbx_1__4__3_ccff_tail), + .bottom_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_top_3_bottom_width_0_height_0_subtile_0__pin_inpad_0_), + .bottom_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_top_3_bottom_width_0_height_0_subtile_1__pin_inpad_0_), + .bottom_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_top_3_bottom_width_0_height_0_subtile_2__pin_inpad_0_), + .bottom_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_top_3_bottom_width_0_height_0_subtile_3__pin_inpad_0_), + .bottom_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_top_3_bottom_width_0_height_0_subtile_4__pin_inpad_0_), + .bottom_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_top_3_bottom_width_0_height_0_subtile_5__pin_inpad_0_), + .bottom_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_top_3_bottom_width_0_height_0_subtile_6__pin_inpad_0_), + .bottom_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_top_3_bottom_width_0_height_0_subtile_7__pin_inpad_0_), + .ccff_tail(grid_io_top_3_ccff_tail)); + + grid_io_right grid_io_right_5__4_ ( + .prog_clk(prog_clk), + .gfpga_pad_GPIO_PAD(gfpga_pad_GPIO_PAD[32:39]), + .left_width_0_height_0_subtile_0__pin_outpad_0_(cby_4__1__3_right_grid_left_width_0_height_0_subtile_0__pin_outpad_0_), + .left_width_0_height_0_subtile_1__pin_outpad_0_(cby_4__1__3_right_grid_left_width_0_height_0_subtile_1__pin_outpad_0_), + .left_width_0_height_0_subtile_2__pin_outpad_0_(cby_4__1__3_right_grid_left_width_0_height_0_subtile_2__pin_outpad_0_), + .left_width_0_height_0_subtile_3__pin_outpad_0_(cby_4__1__3_right_grid_left_width_0_height_0_subtile_3__pin_outpad_0_), + .left_width_0_height_0_subtile_4__pin_outpad_0_(cby_4__1__3_right_grid_left_width_0_height_0_subtile_4__pin_outpad_0_), + .left_width_0_height_0_subtile_5__pin_outpad_0_(cby_4__1__3_right_grid_left_width_0_height_0_subtile_5__pin_outpad_0_), + .left_width_0_height_0_subtile_6__pin_outpad_0_(cby_4__1__3_right_grid_left_width_0_height_0_subtile_6__pin_outpad_0_), + .left_width_0_height_0_subtile_7__pin_outpad_0_(cby_4__1__3_right_grid_left_width_0_height_0_subtile_7__pin_outpad_0_), + .ccff_head(grid_io_right_1_ccff_tail), + .left_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_right_0_left_width_0_height_0_subtile_0__pin_inpad_0_), + .left_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_right_0_left_width_0_height_0_subtile_1__pin_inpad_0_), + .left_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_right_0_left_width_0_height_0_subtile_2__pin_inpad_0_), + .left_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_right_0_left_width_0_height_0_subtile_3__pin_inpad_0_), + .left_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_right_0_left_width_0_height_0_subtile_4__pin_inpad_0_), + .left_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_right_0_left_width_0_height_0_subtile_5__pin_inpad_0_), + .left_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_right_0_left_width_0_height_0_subtile_6__pin_inpad_0_), + .left_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_right_0_left_width_0_height_0_subtile_7__pin_inpad_0_), + .ccff_tail(grid_io_right_0_ccff_tail)); + + grid_io_right grid_io_right_5__3_ ( + .prog_clk(prog_clk), + .gfpga_pad_GPIO_PAD(gfpga_pad_GPIO_PAD[40:47]), + .left_width_0_height_0_subtile_0__pin_outpad_0_(cby_4__1__2_right_grid_left_width_0_height_0_subtile_0__pin_outpad_0_), + .left_width_0_height_0_subtile_1__pin_outpad_0_(cby_4__1__2_right_grid_left_width_0_height_0_subtile_1__pin_outpad_0_), + .left_width_0_height_0_subtile_2__pin_outpad_0_(cby_4__1__2_right_grid_left_width_0_height_0_subtile_2__pin_outpad_0_), + .left_width_0_height_0_subtile_3__pin_outpad_0_(cby_4__1__2_right_grid_left_width_0_height_0_subtile_3__pin_outpad_0_), + .left_width_0_height_0_subtile_4__pin_outpad_0_(cby_4__1__2_right_grid_left_width_0_height_0_subtile_4__pin_outpad_0_), + .left_width_0_height_0_subtile_5__pin_outpad_0_(cby_4__1__2_right_grid_left_width_0_height_0_subtile_5__pin_outpad_0_), + .left_width_0_height_0_subtile_6__pin_outpad_0_(cby_4__1__2_right_grid_left_width_0_height_0_subtile_6__pin_outpad_0_), + .left_width_0_height_0_subtile_7__pin_outpad_0_(cby_4__1__2_right_grid_left_width_0_height_0_subtile_7__pin_outpad_0_), + .ccff_head(grid_io_right_2_ccff_tail), + .left_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_right_1_left_width_0_height_0_subtile_0__pin_inpad_0_), + .left_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_right_1_left_width_0_height_0_subtile_1__pin_inpad_0_), + .left_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_right_1_left_width_0_height_0_subtile_2__pin_inpad_0_), + .left_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_right_1_left_width_0_height_0_subtile_3__pin_inpad_0_), + .left_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_right_1_left_width_0_height_0_subtile_4__pin_inpad_0_), + .left_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_right_1_left_width_0_height_0_subtile_5__pin_inpad_0_), + .left_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_right_1_left_width_0_height_0_subtile_6__pin_inpad_0_), + .left_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_right_1_left_width_0_height_0_subtile_7__pin_inpad_0_), + .ccff_tail(grid_io_right_1_ccff_tail)); + + grid_io_right grid_io_right_5__2_ ( + .prog_clk(prog_clk), + .gfpga_pad_GPIO_PAD(gfpga_pad_GPIO_PAD[48:55]), + .left_width_0_height_0_subtile_0__pin_outpad_0_(cby_4__1__1_right_grid_left_width_0_height_0_subtile_0__pin_outpad_0_), + .left_width_0_height_0_subtile_1__pin_outpad_0_(cby_4__1__1_right_grid_left_width_0_height_0_subtile_1__pin_outpad_0_), + .left_width_0_height_0_subtile_2__pin_outpad_0_(cby_4__1__1_right_grid_left_width_0_height_0_subtile_2__pin_outpad_0_), + .left_width_0_height_0_subtile_3__pin_outpad_0_(cby_4__1__1_right_grid_left_width_0_height_0_subtile_3__pin_outpad_0_), + .left_width_0_height_0_subtile_4__pin_outpad_0_(cby_4__1__1_right_grid_left_width_0_height_0_subtile_4__pin_outpad_0_), + .left_width_0_height_0_subtile_5__pin_outpad_0_(cby_4__1__1_right_grid_left_width_0_height_0_subtile_5__pin_outpad_0_), + .left_width_0_height_0_subtile_6__pin_outpad_0_(cby_4__1__1_right_grid_left_width_0_height_0_subtile_6__pin_outpad_0_), + .left_width_0_height_0_subtile_7__pin_outpad_0_(cby_4__1__1_right_grid_left_width_0_height_0_subtile_7__pin_outpad_0_), + .ccff_head(grid_io_right_3_ccff_tail), + .left_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_right_2_left_width_0_height_0_subtile_0__pin_inpad_0_), + .left_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_right_2_left_width_0_height_0_subtile_1__pin_inpad_0_), + .left_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_right_2_left_width_0_height_0_subtile_2__pin_inpad_0_), + .left_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_right_2_left_width_0_height_0_subtile_3__pin_inpad_0_), + .left_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_right_2_left_width_0_height_0_subtile_4__pin_inpad_0_), + .left_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_right_2_left_width_0_height_0_subtile_5__pin_inpad_0_), + .left_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_right_2_left_width_0_height_0_subtile_6__pin_inpad_0_), + .left_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_right_2_left_width_0_height_0_subtile_7__pin_inpad_0_), + .ccff_tail(grid_io_right_2_ccff_tail)); + + grid_io_right grid_io_right_5__1_ ( + .prog_clk(prog_clk), + .gfpga_pad_GPIO_PAD(gfpga_pad_GPIO_PAD[56:63]), + .left_width_0_height_0_subtile_0__pin_outpad_0_(cby_4__1__0_right_grid_left_width_0_height_0_subtile_0__pin_outpad_0_), + .left_width_0_height_0_subtile_1__pin_outpad_0_(cby_4__1__0_right_grid_left_width_0_height_0_subtile_1__pin_outpad_0_), + .left_width_0_height_0_subtile_2__pin_outpad_0_(cby_4__1__0_right_grid_left_width_0_height_0_subtile_2__pin_outpad_0_), + .left_width_0_height_0_subtile_3__pin_outpad_0_(cby_4__1__0_right_grid_left_width_0_height_0_subtile_3__pin_outpad_0_), + .left_width_0_height_0_subtile_4__pin_outpad_0_(cby_4__1__0_right_grid_left_width_0_height_0_subtile_4__pin_outpad_0_), + .left_width_0_height_0_subtile_5__pin_outpad_0_(cby_4__1__0_right_grid_left_width_0_height_0_subtile_5__pin_outpad_0_), + .left_width_0_height_0_subtile_6__pin_outpad_0_(cby_4__1__0_right_grid_left_width_0_height_0_subtile_6__pin_outpad_0_), + .left_width_0_height_0_subtile_7__pin_outpad_0_(cby_4__1__0_right_grid_left_width_0_height_0_subtile_7__pin_outpad_0_), + .ccff_head(grid_io_bottom_0_ccff_tail), + .left_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_right_3_left_width_0_height_0_subtile_0__pin_inpad_0_), + .left_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_right_3_left_width_0_height_0_subtile_1__pin_inpad_0_), + .left_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_right_3_left_width_0_height_0_subtile_2__pin_inpad_0_), + .left_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_right_3_left_width_0_height_0_subtile_3__pin_inpad_0_), + .left_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_right_3_left_width_0_height_0_subtile_4__pin_inpad_0_), + .left_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_right_3_left_width_0_height_0_subtile_5__pin_inpad_0_), + .left_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_right_3_left_width_0_height_0_subtile_6__pin_inpad_0_), + .left_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_right_3_left_width_0_height_0_subtile_7__pin_inpad_0_), + .ccff_tail(grid_io_right_3_ccff_tail)); + + grid_io_bottom grid_io_bottom_4__0_ ( + .prog_clk(prog_clk), + .gfpga_pad_GPIO_PAD(gfpga_pad_GPIO_PAD[64:71]), + .top_width_0_height_0_subtile_0__pin_outpad_0_(cbx_1__0__3_bottom_grid_top_width_0_height_0_subtile_0__pin_outpad_0_), + .top_width_0_height_0_subtile_1__pin_outpad_0_(cbx_1__0__3_bottom_grid_top_width_0_height_0_subtile_1__pin_outpad_0_), + .top_width_0_height_0_subtile_2__pin_outpad_0_(cbx_1__0__3_bottom_grid_top_width_0_height_0_subtile_2__pin_outpad_0_), + .top_width_0_height_0_subtile_3__pin_outpad_0_(cbx_1__0__3_bottom_grid_top_width_0_height_0_subtile_3__pin_outpad_0_), + .top_width_0_height_0_subtile_4__pin_outpad_0_(cbx_1__0__3_bottom_grid_top_width_0_height_0_subtile_4__pin_outpad_0_), + .top_width_0_height_0_subtile_5__pin_outpad_0_(cbx_1__0__3_bottom_grid_top_width_0_height_0_subtile_5__pin_outpad_0_), + .top_width_0_height_0_subtile_6__pin_outpad_0_(cbx_1__0__3_bottom_grid_top_width_0_height_0_subtile_6__pin_outpad_0_), + .top_width_0_height_0_subtile_7__pin_outpad_0_(cbx_1__0__3_bottom_grid_top_width_0_height_0_subtile_7__pin_outpad_0_), + .ccff_head(grid_io_bottom_1_ccff_tail), + .top_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_bottom_0_top_width_0_height_0_subtile_0__pin_inpad_0_), + .top_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_bottom_0_top_width_0_height_0_subtile_1__pin_inpad_0_), + .top_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_bottom_0_top_width_0_height_0_subtile_2__pin_inpad_0_), + .top_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_bottom_0_top_width_0_height_0_subtile_3__pin_inpad_0_), + .top_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_bottom_0_top_width_0_height_0_subtile_4__pin_inpad_0_), + .top_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_bottom_0_top_width_0_height_0_subtile_5__pin_inpad_0_), + .top_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_bottom_0_top_width_0_height_0_subtile_6__pin_inpad_0_), + .top_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_bottom_0_top_width_0_height_0_subtile_7__pin_inpad_0_), + .ccff_tail(grid_io_bottom_0_ccff_tail)); + + grid_io_bottom grid_io_bottom_3__0_ ( + .prog_clk(prog_clk), + .gfpga_pad_GPIO_PAD(gfpga_pad_GPIO_PAD[72:79]), + .top_width_0_height_0_subtile_0__pin_outpad_0_(cbx_1__0__2_bottom_grid_top_width_0_height_0_subtile_0__pin_outpad_0_), + .top_width_0_height_0_subtile_1__pin_outpad_0_(cbx_1__0__2_bottom_grid_top_width_0_height_0_subtile_1__pin_outpad_0_), + .top_width_0_height_0_subtile_2__pin_outpad_0_(cbx_1__0__2_bottom_grid_top_width_0_height_0_subtile_2__pin_outpad_0_), + .top_width_0_height_0_subtile_3__pin_outpad_0_(cbx_1__0__2_bottom_grid_top_width_0_height_0_subtile_3__pin_outpad_0_), + .top_width_0_height_0_subtile_4__pin_outpad_0_(cbx_1__0__2_bottom_grid_top_width_0_height_0_subtile_4__pin_outpad_0_), + .top_width_0_height_0_subtile_5__pin_outpad_0_(cbx_1__0__2_bottom_grid_top_width_0_height_0_subtile_5__pin_outpad_0_), + .top_width_0_height_0_subtile_6__pin_outpad_0_(cbx_1__0__2_bottom_grid_top_width_0_height_0_subtile_6__pin_outpad_0_), + .top_width_0_height_0_subtile_7__pin_outpad_0_(cbx_1__0__2_bottom_grid_top_width_0_height_0_subtile_7__pin_outpad_0_), + .ccff_head(grid_io_bottom_2_ccff_tail), + .top_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_bottom_1_top_width_0_height_0_subtile_0__pin_inpad_0_), + .top_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_bottom_1_top_width_0_height_0_subtile_1__pin_inpad_0_), + .top_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_bottom_1_top_width_0_height_0_subtile_2__pin_inpad_0_), + .top_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_bottom_1_top_width_0_height_0_subtile_3__pin_inpad_0_), + .top_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_bottom_1_top_width_0_height_0_subtile_4__pin_inpad_0_), + .top_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_bottom_1_top_width_0_height_0_subtile_5__pin_inpad_0_), + .top_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_bottom_1_top_width_0_height_0_subtile_6__pin_inpad_0_), + .top_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_bottom_1_top_width_0_height_0_subtile_7__pin_inpad_0_), + .ccff_tail(grid_io_bottom_1_ccff_tail)); + + grid_io_bottom grid_io_bottom_2__0_ ( + .prog_clk(prog_clk), + .gfpga_pad_GPIO_PAD(gfpga_pad_GPIO_PAD[80:87]), + .top_width_0_height_0_subtile_0__pin_outpad_0_(cbx_1__0__1_bottom_grid_top_width_0_height_0_subtile_0__pin_outpad_0_), + .top_width_0_height_0_subtile_1__pin_outpad_0_(cbx_1__0__1_bottom_grid_top_width_0_height_0_subtile_1__pin_outpad_0_), + .top_width_0_height_0_subtile_2__pin_outpad_0_(cbx_1__0__1_bottom_grid_top_width_0_height_0_subtile_2__pin_outpad_0_), + .top_width_0_height_0_subtile_3__pin_outpad_0_(cbx_1__0__1_bottom_grid_top_width_0_height_0_subtile_3__pin_outpad_0_), + .top_width_0_height_0_subtile_4__pin_outpad_0_(cbx_1__0__1_bottom_grid_top_width_0_height_0_subtile_4__pin_outpad_0_), + .top_width_0_height_0_subtile_5__pin_outpad_0_(cbx_1__0__1_bottom_grid_top_width_0_height_0_subtile_5__pin_outpad_0_), + .top_width_0_height_0_subtile_6__pin_outpad_0_(cbx_1__0__1_bottom_grid_top_width_0_height_0_subtile_6__pin_outpad_0_), + .top_width_0_height_0_subtile_7__pin_outpad_0_(cbx_1__0__1_bottom_grid_top_width_0_height_0_subtile_7__pin_outpad_0_), + .ccff_head(grid_io_bottom_3_ccff_tail), + .top_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_bottom_2_top_width_0_height_0_subtile_0__pin_inpad_0_), + .top_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_bottom_2_top_width_0_height_0_subtile_1__pin_inpad_0_), + .top_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_bottom_2_top_width_0_height_0_subtile_2__pin_inpad_0_), + .top_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_bottom_2_top_width_0_height_0_subtile_3__pin_inpad_0_), + .top_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_bottom_2_top_width_0_height_0_subtile_4__pin_inpad_0_), + .top_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_bottom_2_top_width_0_height_0_subtile_5__pin_inpad_0_), + .top_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_bottom_2_top_width_0_height_0_subtile_6__pin_inpad_0_), + .top_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_bottom_2_top_width_0_height_0_subtile_7__pin_inpad_0_), + .ccff_tail(grid_io_bottom_2_ccff_tail)); + + grid_io_bottom grid_io_bottom_1__0_ ( + .prog_clk(prog_clk), + .gfpga_pad_GPIO_PAD(gfpga_pad_GPIO_PAD[88:95]), + .top_width_0_height_0_subtile_0__pin_outpad_0_(cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_0__pin_outpad_0_), + .top_width_0_height_0_subtile_1__pin_outpad_0_(cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_1__pin_outpad_0_), + .top_width_0_height_0_subtile_2__pin_outpad_0_(cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_2__pin_outpad_0_), + .top_width_0_height_0_subtile_3__pin_outpad_0_(cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_3__pin_outpad_0_), + .top_width_0_height_0_subtile_4__pin_outpad_0_(cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_4__pin_outpad_0_), + .top_width_0_height_0_subtile_5__pin_outpad_0_(cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_5__pin_outpad_0_), + .top_width_0_height_0_subtile_6__pin_outpad_0_(cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_6__pin_outpad_0_), + .top_width_0_height_0_subtile_7__pin_outpad_0_(cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_7__pin_outpad_0_), + .ccff_head(ccff_head), + .top_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_bottom_3_top_width_0_height_0_subtile_0__pin_inpad_0_), + .top_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_bottom_3_top_width_0_height_0_subtile_1__pin_inpad_0_), + .top_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_bottom_3_top_width_0_height_0_subtile_2__pin_inpad_0_), + .top_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_bottom_3_top_width_0_height_0_subtile_3__pin_inpad_0_), + .top_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_bottom_3_top_width_0_height_0_subtile_4__pin_inpad_0_), + .top_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_bottom_3_top_width_0_height_0_subtile_5__pin_inpad_0_), + .top_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_bottom_3_top_width_0_height_0_subtile_6__pin_inpad_0_), + .top_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_bottom_3_top_width_0_height_0_subtile_7__pin_inpad_0_), + .ccff_tail(grid_io_bottom_3_ccff_tail)); + + grid_io_left grid_io_left_0__1_ ( + .prog_clk(prog_clk), + .gfpga_pad_GPIO_PAD(gfpga_pad_GPIO_PAD[96:103]), + .right_width_0_height_0_subtile_0__pin_outpad_0_(cby_0__1__0_left_grid_right_width_0_height_0_subtile_0__pin_outpad_0_), + .right_width_0_height_0_subtile_1__pin_outpad_0_(cby_0__1__0_left_grid_right_width_0_height_0_subtile_1__pin_outpad_0_), + .right_width_0_height_0_subtile_2__pin_outpad_0_(cby_0__1__0_left_grid_right_width_0_height_0_subtile_2__pin_outpad_0_), + .right_width_0_height_0_subtile_3__pin_outpad_0_(cby_0__1__0_left_grid_right_width_0_height_0_subtile_3__pin_outpad_0_), + .right_width_0_height_0_subtile_4__pin_outpad_0_(cby_0__1__0_left_grid_right_width_0_height_0_subtile_4__pin_outpad_0_), + .right_width_0_height_0_subtile_5__pin_outpad_0_(cby_0__1__0_left_grid_right_width_0_height_0_subtile_5__pin_outpad_0_), + .right_width_0_height_0_subtile_6__pin_outpad_0_(cby_0__1__0_left_grid_right_width_0_height_0_subtile_6__pin_outpad_0_), + .right_width_0_height_0_subtile_7__pin_outpad_0_(cby_0__1__0_left_grid_right_width_0_height_0_subtile_7__pin_outpad_0_), + .ccff_head(cby_0__1__0_ccff_tail), + .right_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_left_0_right_width_0_height_0_subtile_0__pin_inpad_0_), + .right_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_left_0_right_width_0_height_0_subtile_1__pin_inpad_0_), + .right_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_left_0_right_width_0_height_0_subtile_2__pin_inpad_0_), + .right_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_left_0_right_width_0_height_0_subtile_3__pin_inpad_0_), + .right_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_left_0_right_width_0_height_0_subtile_4__pin_inpad_0_), + .right_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_left_0_right_width_0_height_0_subtile_5__pin_inpad_0_), + .right_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_left_0_right_width_0_height_0_subtile_6__pin_inpad_0_), + .right_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_left_0_right_width_0_height_0_subtile_7__pin_inpad_0_), + .ccff_tail(grid_io_left_0_ccff_tail)); + + grid_io_left grid_io_left_0__2_ ( + .prog_clk(prog_clk), + .gfpga_pad_GPIO_PAD(gfpga_pad_GPIO_PAD[104:111]), + .right_width_0_height_0_subtile_0__pin_outpad_0_(cby_0__1__1_left_grid_right_width_0_height_0_subtile_0__pin_outpad_0_), + .right_width_0_height_0_subtile_1__pin_outpad_0_(cby_0__1__1_left_grid_right_width_0_height_0_subtile_1__pin_outpad_0_), + .right_width_0_height_0_subtile_2__pin_outpad_0_(cby_0__1__1_left_grid_right_width_0_height_0_subtile_2__pin_outpad_0_), + .right_width_0_height_0_subtile_3__pin_outpad_0_(cby_0__1__1_left_grid_right_width_0_height_0_subtile_3__pin_outpad_0_), + .right_width_0_height_0_subtile_4__pin_outpad_0_(cby_0__1__1_left_grid_right_width_0_height_0_subtile_4__pin_outpad_0_), + .right_width_0_height_0_subtile_5__pin_outpad_0_(cby_0__1__1_left_grid_right_width_0_height_0_subtile_5__pin_outpad_0_), + .right_width_0_height_0_subtile_6__pin_outpad_0_(cby_0__1__1_left_grid_right_width_0_height_0_subtile_6__pin_outpad_0_), + .right_width_0_height_0_subtile_7__pin_outpad_0_(cby_0__1__1_left_grid_right_width_0_height_0_subtile_7__pin_outpad_0_), + .ccff_head(cby_0__1__1_ccff_tail), + .right_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_left_1_right_width_0_height_0_subtile_0__pin_inpad_0_), + .right_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_left_1_right_width_0_height_0_subtile_1__pin_inpad_0_), + .right_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_left_1_right_width_0_height_0_subtile_2__pin_inpad_0_), + .right_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_left_1_right_width_0_height_0_subtile_3__pin_inpad_0_), + .right_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_left_1_right_width_0_height_0_subtile_4__pin_inpad_0_), + .right_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_left_1_right_width_0_height_0_subtile_5__pin_inpad_0_), + .right_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_left_1_right_width_0_height_0_subtile_6__pin_inpad_0_), + .right_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_left_1_right_width_0_height_0_subtile_7__pin_inpad_0_), + .ccff_tail(grid_io_left_1_ccff_tail)); + + grid_io_left grid_io_left_0__3_ ( + .prog_clk(prog_clk), + .gfpga_pad_GPIO_PAD(gfpga_pad_GPIO_PAD[112:119]), + .right_width_0_height_0_subtile_0__pin_outpad_0_(cby_0__1__2_left_grid_right_width_0_height_0_subtile_0__pin_outpad_0_), + .right_width_0_height_0_subtile_1__pin_outpad_0_(cby_0__1__2_left_grid_right_width_0_height_0_subtile_1__pin_outpad_0_), + .right_width_0_height_0_subtile_2__pin_outpad_0_(cby_0__1__2_left_grid_right_width_0_height_0_subtile_2__pin_outpad_0_), + .right_width_0_height_0_subtile_3__pin_outpad_0_(cby_0__1__2_left_grid_right_width_0_height_0_subtile_3__pin_outpad_0_), + .right_width_0_height_0_subtile_4__pin_outpad_0_(cby_0__1__2_left_grid_right_width_0_height_0_subtile_4__pin_outpad_0_), + .right_width_0_height_0_subtile_5__pin_outpad_0_(cby_0__1__2_left_grid_right_width_0_height_0_subtile_5__pin_outpad_0_), + .right_width_0_height_0_subtile_6__pin_outpad_0_(cby_0__1__2_left_grid_right_width_0_height_0_subtile_6__pin_outpad_0_), + .right_width_0_height_0_subtile_7__pin_outpad_0_(cby_0__1__2_left_grid_right_width_0_height_0_subtile_7__pin_outpad_0_), + .ccff_head(cby_0__1__2_ccff_tail), + .right_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_left_2_right_width_0_height_0_subtile_0__pin_inpad_0_), + .right_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_left_2_right_width_0_height_0_subtile_1__pin_inpad_0_), + .right_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_left_2_right_width_0_height_0_subtile_2__pin_inpad_0_), + .right_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_left_2_right_width_0_height_0_subtile_3__pin_inpad_0_), + .right_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_left_2_right_width_0_height_0_subtile_4__pin_inpad_0_), + .right_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_left_2_right_width_0_height_0_subtile_5__pin_inpad_0_), + .right_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_left_2_right_width_0_height_0_subtile_6__pin_inpad_0_), + .right_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_left_2_right_width_0_height_0_subtile_7__pin_inpad_0_), + .ccff_tail(grid_io_left_2_ccff_tail)); + + grid_io_left grid_io_left_0__4_ ( + .prog_clk(prog_clk), + .gfpga_pad_GPIO_PAD(gfpga_pad_GPIO_PAD[120:127]), + .right_width_0_height_0_subtile_0__pin_outpad_0_(cby_0__1__3_left_grid_right_width_0_height_0_subtile_0__pin_outpad_0_), + .right_width_0_height_0_subtile_1__pin_outpad_0_(cby_0__1__3_left_grid_right_width_0_height_0_subtile_1__pin_outpad_0_), + .right_width_0_height_0_subtile_2__pin_outpad_0_(cby_0__1__3_left_grid_right_width_0_height_0_subtile_2__pin_outpad_0_), + .right_width_0_height_0_subtile_3__pin_outpad_0_(cby_0__1__3_left_grid_right_width_0_height_0_subtile_3__pin_outpad_0_), + .right_width_0_height_0_subtile_4__pin_outpad_0_(cby_0__1__3_left_grid_right_width_0_height_0_subtile_4__pin_outpad_0_), + .right_width_0_height_0_subtile_5__pin_outpad_0_(cby_0__1__3_left_grid_right_width_0_height_0_subtile_5__pin_outpad_0_), + .right_width_0_height_0_subtile_6__pin_outpad_0_(cby_0__1__3_left_grid_right_width_0_height_0_subtile_6__pin_outpad_0_), + .right_width_0_height_0_subtile_7__pin_outpad_0_(cby_0__1__3_left_grid_right_width_0_height_0_subtile_7__pin_outpad_0_), + .ccff_head(cby_0__1__3_ccff_tail), + .right_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_left_3_right_width_0_height_0_subtile_0__pin_inpad_0_), + .right_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_left_3_right_width_0_height_0_subtile_1__pin_inpad_0_), + .right_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_left_3_right_width_0_height_0_subtile_2__pin_inpad_0_), + .right_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_left_3_right_width_0_height_0_subtile_3__pin_inpad_0_), + .right_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_left_3_right_width_0_height_0_subtile_4__pin_inpad_0_), + .right_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_left_3_right_width_0_height_0_subtile_5__pin_inpad_0_), + .right_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_left_3_right_width_0_height_0_subtile_6__pin_inpad_0_), + .right_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_left_3_right_width_0_height_0_subtile_7__pin_inpad_0_), + .ccff_tail(grid_io_left_3_ccff_tail)); + + sb_0__0_ sb_0__0_ ( + .prog_clk(prog_clk), + .chany_top_in(cby_0__1__0_chany_bottom_out[0:9]), + .top_left_grid_right_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_left_0_right_width_0_height_0_subtile_0__pin_inpad_0_), + .top_left_grid_right_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_left_0_right_width_0_height_0_subtile_1__pin_inpad_0_), + .top_left_grid_right_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_left_0_right_width_0_height_0_subtile_2__pin_inpad_0_), + .top_left_grid_right_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_left_0_right_width_0_height_0_subtile_3__pin_inpad_0_), + .top_left_grid_right_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_left_0_right_width_0_height_0_subtile_4__pin_inpad_0_), + .top_left_grid_right_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_left_0_right_width_0_height_0_subtile_5__pin_inpad_0_), + .top_left_grid_right_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_left_0_right_width_0_height_0_subtile_6__pin_inpad_0_), + .top_left_grid_right_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_left_0_right_width_0_height_0_subtile_7__pin_inpad_0_), + .top_right_grid_left_width_0_height_0_subtile_0__pin_O_1_(grid_clb_0_left_width_0_height_0_subtile_0__pin_O_1_), + .chanx_right_in(cbx_1__0__0_chanx_left_out[0:9]), + .right_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_0_bottom_width_0_height_0_subtile_0__pin_O_0_), + .right_bottom_grid_top_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_bottom_3_top_width_0_height_0_subtile_0__pin_inpad_0_), + .right_bottom_grid_top_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_bottom_3_top_width_0_height_0_subtile_1__pin_inpad_0_), + .right_bottom_grid_top_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_bottom_3_top_width_0_height_0_subtile_2__pin_inpad_0_), + .right_bottom_grid_top_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_bottom_3_top_width_0_height_0_subtile_3__pin_inpad_0_), + .right_bottom_grid_top_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_bottom_3_top_width_0_height_0_subtile_4__pin_inpad_0_), + .right_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_bottom_3_top_width_0_height_0_subtile_5__pin_inpad_0_), + .right_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_bottom_3_top_width_0_height_0_subtile_6__pin_inpad_0_), + .right_bottom_grid_top_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_bottom_3_top_width_0_height_0_subtile_7__pin_inpad_0_), + .ccff_head(grid_io_left_1_ccff_tail), + .chany_top_out(sb_0__0__0_chany_top_out[0:9]), + .chanx_right_out(sb_0__0__0_chanx_right_out[0:9]), + .ccff_tail(sb_0__0__0_ccff_tail)); + + sb_0__1_ sb_0__1_ ( + .prog_clk(prog_clk), + .chany_top_in(cby_0__1__1_chany_bottom_out[0:9]), + .top_left_grid_right_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_left_1_right_width_0_height_0_subtile_0__pin_inpad_0_), + .top_left_grid_right_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_left_1_right_width_0_height_0_subtile_1__pin_inpad_0_), + .top_left_grid_right_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_left_1_right_width_0_height_0_subtile_2__pin_inpad_0_), + .top_left_grid_right_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_left_1_right_width_0_height_0_subtile_3__pin_inpad_0_), + .top_left_grid_right_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_left_1_right_width_0_height_0_subtile_4__pin_inpad_0_), + .top_left_grid_right_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_left_1_right_width_0_height_0_subtile_5__pin_inpad_0_), + .top_left_grid_right_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_left_1_right_width_0_height_0_subtile_6__pin_inpad_0_), + .top_left_grid_right_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_left_1_right_width_0_height_0_subtile_7__pin_inpad_0_), + .top_right_grid_left_width_0_height_0_subtile_0__pin_O_1_(grid_clb_1_left_width_0_height_0_subtile_0__pin_O_1_), + .chanx_right_in(cbx_1__1__0_chanx_left_out[0:9]), + .right_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_1_bottom_width_0_height_0_subtile_0__pin_O_0_), + .right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_0_top_width_0_height_0_subtile_0__pin_O_2_), + .chany_bottom_in(cby_0__1__0_chany_top_out[0:9]), + .bottom_right_grid_left_width_0_height_0_subtile_0__pin_O_1_(grid_clb_0_left_width_0_height_0_subtile_0__pin_O_1_), + .bottom_left_grid_right_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_left_0_right_width_0_height_0_subtile_0__pin_inpad_0_), + .bottom_left_grid_right_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_left_0_right_width_0_height_0_subtile_1__pin_inpad_0_), + .bottom_left_grid_right_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_left_0_right_width_0_height_0_subtile_2__pin_inpad_0_), + .bottom_left_grid_right_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_left_0_right_width_0_height_0_subtile_3__pin_inpad_0_), + .bottom_left_grid_right_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_left_0_right_width_0_height_0_subtile_4__pin_inpad_0_), + .bottom_left_grid_right_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_left_0_right_width_0_height_0_subtile_5__pin_inpad_0_), + .bottom_left_grid_right_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_left_0_right_width_0_height_0_subtile_6__pin_inpad_0_), + .bottom_left_grid_right_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_left_0_right_width_0_height_0_subtile_7__pin_inpad_0_), + .ccff_head(grid_io_left_2_ccff_tail), + .chany_top_out(sb_0__1__0_chany_top_out[0:9]), + .chanx_right_out(sb_0__1__0_chanx_right_out[0:9]), + .chany_bottom_out(sb_0__1__0_chany_bottom_out[0:9]), + .ccff_tail(sb_0__1__0_ccff_tail)); + + sb_0__1_ sb_0__2_ ( + .prog_clk(prog_clk), + .chany_top_in(cby_0__1__2_chany_bottom_out[0:9]), + .top_left_grid_right_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_left_2_right_width_0_height_0_subtile_0__pin_inpad_0_), + .top_left_grid_right_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_left_2_right_width_0_height_0_subtile_1__pin_inpad_0_), + .top_left_grid_right_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_left_2_right_width_0_height_0_subtile_2__pin_inpad_0_), + .top_left_grid_right_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_left_2_right_width_0_height_0_subtile_3__pin_inpad_0_), + .top_left_grid_right_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_left_2_right_width_0_height_0_subtile_4__pin_inpad_0_), + .top_left_grid_right_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_left_2_right_width_0_height_0_subtile_5__pin_inpad_0_), + .top_left_grid_right_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_left_2_right_width_0_height_0_subtile_6__pin_inpad_0_), + .top_left_grid_right_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_left_2_right_width_0_height_0_subtile_7__pin_inpad_0_), + .top_right_grid_left_width_0_height_0_subtile_0__pin_O_1_(grid_clb_2_left_width_0_height_0_subtile_0__pin_O_1_), + .chanx_right_in(cbx_1__1__1_chanx_left_out[0:9]), + .right_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_2_bottom_width_0_height_0_subtile_0__pin_O_0_), + .right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_1_top_width_0_height_0_subtile_0__pin_O_2_), + .chany_bottom_in(cby_0__1__1_chany_top_out[0:9]), + .bottom_right_grid_left_width_0_height_0_subtile_0__pin_O_1_(grid_clb_1_left_width_0_height_0_subtile_0__pin_O_1_), + .bottom_left_grid_right_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_left_1_right_width_0_height_0_subtile_0__pin_inpad_0_), + .bottom_left_grid_right_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_left_1_right_width_0_height_0_subtile_1__pin_inpad_0_), + .bottom_left_grid_right_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_left_1_right_width_0_height_0_subtile_2__pin_inpad_0_), + .bottom_left_grid_right_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_left_1_right_width_0_height_0_subtile_3__pin_inpad_0_), + .bottom_left_grid_right_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_left_1_right_width_0_height_0_subtile_4__pin_inpad_0_), + .bottom_left_grid_right_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_left_1_right_width_0_height_0_subtile_5__pin_inpad_0_), + .bottom_left_grid_right_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_left_1_right_width_0_height_0_subtile_6__pin_inpad_0_), + .bottom_left_grid_right_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_left_1_right_width_0_height_0_subtile_7__pin_inpad_0_), + .ccff_head(grid_io_left_3_ccff_tail), + .chany_top_out(sb_0__1__1_chany_top_out[0:9]), + .chanx_right_out(sb_0__1__1_chanx_right_out[0:9]), + .chany_bottom_out(sb_0__1__1_chany_bottom_out[0:9]), + .ccff_tail(sb_0__1__1_ccff_tail)); + + sb_0__1_ sb_0__3_ ( + .prog_clk(prog_clk), + .chany_top_in(cby_0__1__3_chany_bottom_out[0:9]), + .top_left_grid_right_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_left_3_right_width_0_height_0_subtile_0__pin_inpad_0_), + .top_left_grid_right_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_left_3_right_width_0_height_0_subtile_1__pin_inpad_0_), + .top_left_grid_right_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_left_3_right_width_0_height_0_subtile_2__pin_inpad_0_), + .top_left_grid_right_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_left_3_right_width_0_height_0_subtile_3__pin_inpad_0_), + .top_left_grid_right_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_left_3_right_width_0_height_0_subtile_4__pin_inpad_0_), + .top_left_grid_right_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_left_3_right_width_0_height_0_subtile_5__pin_inpad_0_), + .top_left_grid_right_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_left_3_right_width_0_height_0_subtile_6__pin_inpad_0_), + .top_left_grid_right_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_left_3_right_width_0_height_0_subtile_7__pin_inpad_0_), + .top_right_grid_left_width_0_height_0_subtile_0__pin_O_1_(grid_clb_3_left_width_0_height_0_subtile_0__pin_O_1_), + .chanx_right_in(cbx_1__1__2_chanx_left_out[0:9]), + .right_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_3_bottom_width_0_height_0_subtile_0__pin_O_0_), + .right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_2_top_width_0_height_0_subtile_0__pin_O_2_), + .chany_bottom_in(cby_0__1__2_chany_top_out[0:9]), + .bottom_right_grid_left_width_0_height_0_subtile_0__pin_O_1_(grid_clb_2_left_width_0_height_0_subtile_0__pin_O_1_), + .bottom_left_grid_right_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_left_2_right_width_0_height_0_subtile_0__pin_inpad_0_), + .bottom_left_grid_right_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_left_2_right_width_0_height_0_subtile_1__pin_inpad_0_), + .bottom_left_grid_right_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_left_2_right_width_0_height_0_subtile_2__pin_inpad_0_), + .bottom_left_grid_right_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_left_2_right_width_0_height_0_subtile_3__pin_inpad_0_), + .bottom_left_grid_right_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_left_2_right_width_0_height_0_subtile_4__pin_inpad_0_), + .bottom_left_grid_right_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_left_2_right_width_0_height_0_subtile_5__pin_inpad_0_), + .bottom_left_grid_right_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_left_2_right_width_0_height_0_subtile_6__pin_inpad_0_), + .bottom_left_grid_right_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_left_2_right_width_0_height_0_subtile_7__pin_inpad_0_), + .ccff_head(sb_0__4__0_ccff_tail), + .chany_top_out(sb_0__1__2_chany_top_out[0:9]), + .chanx_right_out(sb_0__1__2_chanx_right_out[0:9]), + .chany_bottom_out(sb_0__1__2_chany_bottom_out[0:9]), + .ccff_tail(sb_0__1__2_ccff_tail)); + + sb_0__4_ sb_0__4_ ( + .prog_clk(prog_clk), + .chanx_right_in(cbx_1__4__0_chanx_left_out[0:9]), + .right_top_grid_bottom_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_top_0_bottom_width_0_height_0_subtile_0__pin_inpad_0_), + .right_top_grid_bottom_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_top_0_bottom_width_0_height_0_subtile_1__pin_inpad_0_), + .right_top_grid_bottom_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_top_0_bottom_width_0_height_0_subtile_2__pin_inpad_0_), + .right_top_grid_bottom_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_top_0_bottom_width_0_height_0_subtile_3__pin_inpad_0_), + .right_top_grid_bottom_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_top_0_bottom_width_0_height_0_subtile_4__pin_inpad_0_), + .right_top_grid_bottom_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_top_0_bottom_width_0_height_0_subtile_5__pin_inpad_0_), + .right_top_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_top_0_bottom_width_0_height_0_subtile_6__pin_inpad_0_), + .right_top_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_top_0_bottom_width_0_height_0_subtile_7__pin_inpad_0_), + .right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_3_top_width_0_height_0_subtile_0__pin_O_2_), + .chany_bottom_in(cby_0__1__3_chany_top_out[0:9]), + .bottom_right_grid_left_width_0_height_0_subtile_0__pin_O_1_(grid_clb_3_left_width_0_height_0_subtile_0__pin_O_1_), + .bottom_left_grid_right_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_left_3_right_width_0_height_0_subtile_0__pin_inpad_0_), + .bottom_left_grid_right_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_left_3_right_width_0_height_0_subtile_1__pin_inpad_0_), + .bottom_left_grid_right_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_left_3_right_width_0_height_0_subtile_2__pin_inpad_0_), + .bottom_left_grid_right_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_left_3_right_width_0_height_0_subtile_3__pin_inpad_0_), + .bottom_left_grid_right_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_left_3_right_width_0_height_0_subtile_4__pin_inpad_0_), + .bottom_left_grid_right_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_left_3_right_width_0_height_0_subtile_5__pin_inpad_0_), + .bottom_left_grid_right_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_left_3_right_width_0_height_0_subtile_6__pin_inpad_0_), + .bottom_left_grid_right_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_left_3_right_width_0_height_0_subtile_7__pin_inpad_0_), + .ccff_head(grid_io_top_0_ccff_tail), + .chanx_right_out(sb_0__4__0_chanx_right_out[0:9]), + .chany_bottom_out(sb_0__4__0_chany_bottom_out[0:9]), + .ccff_tail(sb_0__4__0_ccff_tail)); + + sb_1__0_ sb_1__0_ ( + .prog_clk(prog_clk), + .chany_top_in(cby_1__1__0_chany_bottom_out[0:9]), + .top_left_grid_right_width_0_height_0_subtile_0__pin_O_3_(grid_clb_0_right_width_0_height_0_subtile_0__pin_O_3_), + .top_right_grid_left_width_0_height_0_subtile_0__pin_O_1_(grid_clb_4_left_width_0_height_0_subtile_0__pin_O_1_), + .chanx_right_in(cbx_1__0__1_chanx_left_out[0:9]), + .right_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_4_bottom_width_0_height_0_subtile_0__pin_O_0_), + .right_bottom_grid_top_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_bottom_2_top_width_0_height_0_subtile_0__pin_inpad_0_), + .right_bottom_grid_top_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_bottom_2_top_width_0_height_0_subtile_1__pin_inpad_0_), + .right_bottom_grid_top_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_bottom_2_top_width_0_height_0_subtile_2__pin_inpad_0_), + .right_bottom_grid_top_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_bottom_2_top_width_0_height_0_subtile_3__pin_inpad_0_), + .right_bottom_grid_top_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_bottom_2_top_width_0_height_0_subtile_4__pin_inpad_0_), + .right_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_bottom_2_top_width_0_height_0_subtile_5__pin_inpad_0_), + .right_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_bottom_2_top_width_0_height_0_subtile_6__pin_inpad_0_), + .right_bottom_grid_top_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_bottom_2_top_width_0_height_0_subtile_7__pin_inpad_0_), + .chanx_left_in(cbx_1__0__0_chanx_right_out[0:9]), + .left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_0_bottom_width_0_height_0_subtile_0__pin_O_0_), + .left_bottom_grid_top_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_bottom_3_top_width_0_height_0_subtile_0__pin_inpad_0_), + .left_bottom_grid_top_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_bottom_3_top_width_0_height_0_subtile_1__pin_inpad_0_), + .left_bottom_grid_top_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_bottom_3_top_width_0_height_0_subtile_2__pin_inpad_0_), + .left_bottom_grid_top_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_bottom_3_top_width_0_height_0_subtile_3__pin_inpad_0_), + .left_bottom_grid_top_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_bottom_3_top_width_0_height_0_subtile_4__pin_inpad_0_), + .left_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_bottom_3_top_width_0_height_0_subtile_5__pin_inpad_0_), + .left_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_bottom_3_top_width_0_height_0_subtile_6__pin_inpad_0_), + .left_bottom_grid_top_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_bottom_3_top_width_0_height_0_subtile_7__pin_inpad_0_), + .ccff_head(grid_io_left_0_ccff_tail), + .chany_top_out(sb_1__0__0_chany_top_out[0:9]), + .chanx_right_out(sb_1__0__0_chanx_right_out[0:9]), + .chanx_left_out(sb_1__0__0_chanx_left_out[0:9]), + .ccff_tail(sb_1__0__0_ccff_tail)); + + sb_1__0_ sb_2__0_ ( + .prog_clk(prog_clk), + .chany_top_in(cby_1__1__4_chany_bottom_out[0:9]), + .top_left_grid_right_width_0_height_0_subtile_0__pin_O_3_(grid_clb_4_right_width_0_height_0_subtile_0__pin_O_3_), + .top_right_grid_left_width_0_height_0_subtile_0__pin_O_1_(grid_clb_8_left_width_0_height_0_subtile_0__pin_O_1_), + .chanx_right_in(cbx_1__0__2_chanx_left_out[0:9]), + .right_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_8_bottom_width_0_height_0_subtile_0__pin_O_0_), + .right_bottom_grid_top_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_bottom_1_top_width_0_height_0_subtile_0__pin_inpad_0_), + .right_bottom_grid_top_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_bottom_1_top_width_0_height_0_subtile_1__pin_inpad_0_), + .right_bottom_grid_top_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_bottom_1_top_width_0_height_0_subtile_2__pin_inpad_0_), + .right_bottom_grid_top_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_bottom_1_top_width_0_height_0_subtile_3__pin_inpad_0_), + .right_bottom_grid_top_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_bottom_1_top_width_0_height_0_subtile_4__pin_inpad_0_), + .right_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_bottom_1_top_width_0_height_0_subtile_5__pin_inpad_0_), + .right_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_bottom_1_top_width_0_height_0_subtile_6__pin_inpad_0_), + .right_bottom_grid_top_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_bottom_1_top_width_0_height_0_subtile_7__pin_inpad_0_), + .chanx_left_in(cbx_1__0__1_chanx_right_out[0:9]), + .left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_4_bottom_width_0_height_0_subtile_0__pin_O_0_), + .left_bottom_grid_top_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_bottom_2_top_width_0_height_0_subtile_0__pin_inpad_0_), + .left_bottom_grid_top_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_bottom_2_top_width_0_height_0_subtile_1__pin_inpad_0_), + .left_bottom_grid_top_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_bottom_2_top_width_0_height_0_subtile_2__pin_inpad_0_), + .left_bottom_grid_top_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_bottom_2_top_width_0_height_0_subtile_3__pin_inpad_0_), + .left_bottom_grid_top_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_bottom_2_top_width_0_height_0_subtile_4__pin_inpad_0_), + .left_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_bottom_2_top_width_0_height_0_subtile_5__pin_inpad_0_), + .left_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_bottom_2_top_width_0_height_0_subtile_6__pin_inpad_0_), + .left_bottom_grid_top_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_bottom_2_top_width_0_height_0_subtile_7__pin_inpad_0_), + .ccff_head(grid_clb_0_ccff_tail), + .chany_top_out(sb_1__0__1_chany_top_out[0:9]), + .chanx_right_out(sb_1__0__1_chanx_right_out[0:9]), + .chanx_left_out(sb_1__0__1_chanx_left_out[0:9]), + .ccff_tail(sb_1__0__1_ccff_tail)); + + sb_1__0_ sb_3__0_ ( + .prog_clk(prog_clk), + .chany_top_in(cby_1__1__8_chany_bottom_out[0:9]), + .top_left_grid_right_width_0_height_0_subtile_0__pin_O_3_(grid_clb_8_right_width_0_height_0_subtile_0__pin_O_3_), + .top_right_grid_left_width_0_height_0_subtile_0__pin_O_1_(grid_clb_12_left_width_0_height_0_subtile_0__pin_O_1_), + .chanx_right_in(cbx_1__0__3_chanx_left_out[0:9]), + .right_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_12_bottom_width_0_height_0_subtile_0__pin_O_0_), + .right_bottom_grid_top_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_bottom_0_top_width_0_height_0_subtile_0__pin_inpad_0_), + .right_bottom_grid_top_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_bottom_0_top_width_0_height_0_subtile_1__pin_inpad_0_), + .right_bottom_grid_top_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_bottom_0_top_width_0_height_0_subtile_2__pin_inpad_0_), + .right_bottom_grid_top_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_bottom_0_top_width_0_height_0_subtile_3__pin_inpad_0_), + .right_bottom_grid_top_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_bottom_0_top_width_0_height_0_subtile_4__pin_inpad_0_), + .right_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_bottom_0_top_width_0_height_0_subtile_5__pin_inpad_0_), + .right_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_bottom_0_top_width_0_height_0_subtile_6__pin_inpad_0_), + .right_bottom_grid_top_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_bottom_0_top_width_0_height_0_subtile_7__pin_inpad_0_), + .chanx_left_in(cbx_1__0__2_chanx_right_out[0:9]), + .left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_8_bottom_width_0_height_0_subtile_0__pin_O_0_), + .left_bottom_grid_top_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_bottom_1_top_width_0_height_0_subtile_0__pin_inpad_0_), + .left_bottom_grid_top_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_bottom_1_top_width_0_height_0_subtile_1__pin_inpad_0_), + .left_bottom_grid_top_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_bottom_1_top_width_0_height_0_subtile_2__pin_inpad_0_), + .left_bottom_grid_top_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_bottom_1_top_width_0_height_0_subtile_3__pin_inpad_0_), + .left_bottom_grid_top_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_bottom_1_top_width_0_height_0_subtile_4__pin_inpad_0_), + .left_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_bottom_1_top_width_0_height_0_subtile_5__pin_inpad_0_), + .left_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_bottom_1_top_width_0_height_0_subtile_6__pin_inpad_0_), + .left_bottom_grid_top_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_bottom_1_top_width_0_height_0_subtile_7__pin_inpad_0_), + .ccff_head(grid_clb_4_ccff_tail), + .chany_top_out(sb_1__0__2_chany_top_out[0:9]), + .chanx_right_out(sb_1__0__2_chanx_right_out[0:9]), + .chanx_left_out(sb_1__0__2_chanx_left_out[0:9]), + .ccff_tail(sb_1__0__2_ccff_tail)); + + sb_1__1_ sb_1__1_ ( + .prog_clk(prog_clk), + .chany_top_in(cby_1__1__1_chany_bottom_out[0:9]), + .top_left_grid_right_width_0_height_0_subtile_0__pin_O_3_(grid_clb_1_right_width_0_height_0_subtile_0__pin_O_3_), + .top_right_grid_left_width_0_height_0_subtile_0__pin_O_1_(grid_clb_5_left_width_0_height_0_subtile_0__pin_O_1_), + .chanx_right_in(cbx_1__1__3_chanx_left_out[0:9]), + .right_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_5_bottom_width_0_height_0_subtile_0__pin_O_0_), + .right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_4_top_width_0_height_0_subtile_0__pin_O_2_), + .chany_bottom_in(cby_1__1__0_chany_top_out[0:9]), + .bottom_right_grid_left_width_0_height_0_subtile_0__pin_O_1_(grid_clb_4_left_width_0_height_0_subtile_0__pin_O_1_), + .bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_3_(grid_clb_0_right_width_0_height_0_subtile_0__pin_O_3_), + .chanx_left_in(cbx_1__1__0_chanx_right_out[0:9]), + .left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_1_bottom_width_0_height_0_subtile_0__pin_O_0_), + .left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_0_top_width_0_height_0_subtile_0__pin_O_2_), + .ccff_head(grid_clb_5_ccff_tail), + .chany_top_out(sb_1__1__0_chany_top_out[0:9]), + .chanx_right_out(sb_1__1__0_chanx_right_out[0:9]), + .chany_bottom_out(sb_1__1__0_chany_bottom_out[0:9]), + .chanx_left_out(sb_1__1__0_chanx_left_out[0:9]), + .ccff_tail(sb_1__1__0_ccff_tail)); + + sb_1__1_ sb_1__2_ ( + .prog_clk(prog_clk), + .chany_top_in(cby_1__1__2_chany_bottom_out[0:9]), + .top_left_grid_right_width_0_height_0_subtile_0__pin_O_3_(grid_clb_2_right_width_0_height_0_subtile_0__pin_O_3_), + .top_right_grid_left_width_0_height_0_subtile_0__pin_O_1_(grid_clb_6_left_width_0_height_0_subtile_0__pin_O_1_), + .chanx_right_in(cbx_1__1__4_chanx_left_out[0:9]), + .right_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_6_bottom_width_0_height_0_subtile_0__pin_O_0_), + .right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_5_top_width_0_height_0_subtile_0__pin_O_2_), + .chany_bottom_in(cby_1__1__1_chany_top_out[0:9]), + .bottom_right_grid_left_width_0_height_0_subtile_0__pin_O_1_(grid_clb_5_left_width_0_height_0_subtile_0__pin_O_1_), + .bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_3_(grid_clb_1_right_width_0_height_0_subtile_0__pin_O_3_), + .chanx_left_in(cbx_1__1__1_chanx_right_out[0:9]), + .left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_2_bottom_width_0_height_0_subtile_0__pin_O_0_), + .left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_1_top_width_0_height_0_subtile_0__pin_O_2_), + .ccff_head(grid_clb_1_ccff_tail), + .chany_top_out(sb_1__1__1_chany_top_out[0:9]), + .chanx_right_out(sb_1__1__1_chanx_right_out[0:9]), + .chany_bottom_out(sb_1__1__1_chany_bottom_out[0:9]), + .chanx_left_out(sb_1__1__1_chanx_left_out[0:9]), + .ccff_tail(sb_1__1__1_ccff_tail)); + + sb_1__1_ sb_1__3_ ( + .prog_clk(prog_clk), + .chany_top_in(cby_1__1__3_chany_bottom_out[0:9]), + .top_left_grid_right_width_0_height_0_subtile_0__pin_O_3_(grid_clb_3_right_width_0_height_0_subtile_0__pin_O_3_), + .top_right_grid_left_width_0_height_0_subtile_0__pin_O_1_(grid_clb_7_left_width_0_height_0_subtile_0__pin_O_1_), + .chanx_right_in(cbx_1__1__5_chanx_left_out[0:9]), + .right_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_7_bottom_width_0_height_0_subtile_0__pin_O_0_), + .right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_6_top_width_0_height_0_subtile_0__pin_O_2_), + .chany_bottom_in(cby_1__1__2_chany_top_out[0:9]), + .bottom_right_grid_left_width_0_height_0_subtile_0__pin_O_1_(grid_clb_6_left_width_0_height_0_subtile_0__pin_O_1_), + .bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_3_(grid_clb_2_right_width_0_height_0_subtile_0__pin_O_3_), + .chanx_left_in(cbx_1__1__2_chanx_right_out[0:9]), + .left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_3_bottom_width_0_height_0_subtile_0__pin_O_0_), + .left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_2_top_width_0_height_0_subtile_0__pin_O_2_), + .ccff_head(grid_clb_7_ccff_tail), + .chany_top_out(sb_1__1__2_chany_top_out[0:9]), + .chanx_right_out(sb_1__1__2_chanx_right_out[0:9]), + .chany_bottom_out(sb_1__1__2_chany_bottom_out[0:9]), + .chanx_left_out(sb_1__1__2_chanx_left_out[0:9]), + .ccff_tail(sb_1__1__2_ccff_tail)); + + sb_1__1_ sb_2__1_ ( + .prog_clk(prog_clk), + .chany_top_in(cby_1__1__5_chany_bottom_out[0:9]), + .top_left_grid_right_width_0_height_0_subtile_0__pin_O_3_(grid_clb_5_right_width_0_height_0_subtile_0__pin_O_3_), + .top_right_grid_left_width_0_height_0_subtile_0__pin_O_1_(grid_clb_9_left_width_0_height_0_subtile_0__pin_O_1_), + .chanx_right_in(cbx_1__1__6_chanx_left_out[0:9]), + .right_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_9_bottom_width_0_height_0_subtile_0__pin_O_0_), + .right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_8_top_width_0_height_0_subtile_0__pin_O_2_), + .chany_bottom_in(cby_1__1__4_chany_top_out[0:9]), + .bottom_right_grid_left_width_0_height_0_subtile_0__pin_O_1_(grid_clb_8_left_width_0_height_0_subtile_0__pin_O_1_), + .bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_3_(grid_clb_4_right_width_0_height_0_subtile_0__pin_O_3_), + .chanx_left_in(cbx_1__1__3_chanx_right_out[0:9]), + .left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_5_bottom_width_0_height_0_subtile_0__pin_O_0_), + .left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_4_top_width_0_height_0_subtile_0__pin_O_2_), + .ccff_head(grid_clb_9_ccff_tail), + .chany_top_out(sb_1__1__3_chany_top_out[0:9]), + .chanx_right_out(sb_1__1__3_chanx_right_out[0:9]), + .chany_bottom_out(sb_1__1__3_chany_bottom_out[0:9]), + .chanx_left_out(sb_1__1__3_chanx_left_out[0:9]), + .ccff_tail(sb_1__1__3_ccff_tail)); + + sb_1__1_ sb_2__2_ ( + .prog_clk(prog_clk), + .chany_top_in(cby_1__1__6_chany_bottom_out[0:9]), + .top_left_grid_right_width_0_height_0_subtile_0__pin_O_3_(grid_clb_6_right_width_0_height_0_subtile_0__pin_O_3_), + .top_right_grid_left_width_0_height_0_subtile_0__pin_O_1_(grid_clb_10_left_width_0_height_0_subtile_0__pin_O_1_), + .chanx_right_in(cbx_1__1__7_chanx_left_out[0:9]), + .right_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_10_bottom_width_0_height_0_subtile_0__pin_O_0_), + .right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_9_top_width_0_height_0_subtile_0__pin_O_2_), + .chany_bottom_in(cby_1__1__5_chany_top_out[0:9]), + .bottom_right_grid_left_width_0_height_0_subtile_0__pin_O_1_(grid_clb_9_left_width_0_height_0_subtile_0__pin_O_1_), + .bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_3_(grid_clb_5_right_width_0_height_0_subtile_0__pin_O_3_), + .chanx_left_in(cbx_1__1__4_chanx_right_out[0:9]), + .left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_6_bottom_width_0_height_0_subtile_0__pin_O_0_), + .left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_5_top_width_0_height_0_subtile_0__pin_O_2_), + .ccff_head(grid_clb_2_ccff_tail), + .chany_top_out(sb_1__1__4_chany_top_out[0:9]), + .chanx_right_out(sb_1__1__4_chanx_right_out[0:9]), + .chany_bottom_out(sb_1__1__4_chany_bottom_out[0:9]), + .chanx_left_out(sb_1__1__4_chanx_left_out[0:9]), + .ccff_tail(sb_1__1__4_ccff_tail)); + + sb_1__1_ sb_2__3_ ( + .prog_clk(prog_clk), + .chany_top_in(cby_1__1__7_chany_bottom_out[0:9]), + .top_left_grid_right_width_0_height_0_subtile_0__pin_O_3_(grid_clb_7_right_width_0_height_0_subtile_0__pin_O_3_), + .top_right_grid_left_width_0_height_0_subtile_0__pin_O_1_(grid_clb_11_left_width_0_height_0_subtile_0__pin_O_1_), + .chanx_right_in(cbx_1__1__8_chanx_left_out[0:9]), + .right_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_11_bottom_width_0_height_0_subtile_0__pin_O_0_), + .right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_10_top_width_0_height_0_subtile_0__pin_O_2_), + .chany_bottom_in(cby_1__1__6_chany_top_out[0:9]), + .bottom_right_grid_left_width_0_height_0_subtile_0__pin_O_1_(grid_clb_10_left_width_0_height_0_subtile_0__pin_O_1_), + .bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_3_(grid_clb_6_right_width_0_height_0_subtile_0__pin_O_3_), + .chanx_left_in(cbx_1__1__5_chanx_right_out[0:9]), + .left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_7_bottom_width_0_height_0_subtile_0__pin_O_0_), + .left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_6_top_width_0_height_0_subtile_0__pin_O_2_), + .ccff_head(grid_clb_11_ccff_tail), + .chany_top_out(sb_1__1__5_chany_top_out[0:9]), + .chanx_right_out(sb_1__1__5_chanx_right_out[0:9]), + .chany_bottom_out(sb_1__1__5_chany_bottom_out[0:9]), + .chanx_left_out(sb_1__1__5_chanx_left_out[0:9]), + .ccff_tail(sb_1__1__5_ccff_tail)); + + sb_1__1_ sb_3__1_ ( + .prog_clk(prog_clk), + .chany_top_in(cby_1__1__9_chany_bottom_out[0:9]), + .top_left_grid_right_width_0_height_0_subtile_0__pin_O_3_(grid_clb_9_right_width_0_height_0_subtile_0__pin_O_3_), + .top_right_grid_left_width_0_height_0_subtile_0__pin_O_1_(grid_clb_13_left_width_0_height_0_subtile_0__pin_O_1_), + .chanx_right_in(cbx_1__1__9_chanx_left_out[0:9]), + .right_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_13_bottom_width_0_height_0_subtile_0__pin_O_0_), + .right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_12_top_width_0_height_0_subtile_0__pin_O_2_), + .chany_bottom_in(cby_1__1__8_chany_top_out[0:9]), + .bottom_right_grid_left_width_0_height_0_subtile_0__pin_O_1_(grid_clb_12_left_width_0_height_0_subtile_0__pin_O_1_), + .bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_3_(grid_clb_8_right_width_0_height_0_subtile_0__pin_O_3_), + .chanx_left_in(cbx_1__1__6_chanx_right_out[0:9]), + .left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_9_bottom_width_0_height_0_subtile_0__pin_O_0_), + .left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_8_top_width_0_height_0_subtile_0__pin_O_2_), + .ccff_head(grid_clb_13_ccff_tail), + .chany_top_out(sb_1__1__6_chany_top_out[0:9]), + .chanx_right_out(sb_1__1__6_chanx_right_out[0:9]), + .chany_bottom_out(sb_1__1__6_chany_bottom_out[0:9]), + .chanx_left_out(sb_1__1__6_chanx_left_out[0:9]), + .ccff_tail(sb_1__1__6_ccff_tail)); + + sb_1__1_ sb_3__2_ ( + .prog_clk(prog_clk), + .chany_top_in(cby_1__1__10_chany_bottom_out[0:9]), + .top_left_grid_right_width_0_height_0_subtile_0__pin_O_3_(grid_clb_10_right_width_0_height_0_subtile_0__pin_O_3_), + .top_right_grid_left_width_0_height_0_subtile_0__pin_O_1_(grid_clb_14_left_width_0_height_0_subtile_0__pin_O_1_), + .chanx_right_in(cbx_1__1__10_chanx_left_out[0:9]), + .right_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_14_bottom_width_0_height_0_subtile_0__pin_O_0_), + .right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_13_top_width_0_height_0_subtile_0__pin_O_2_), + .chany_bottom_in(cby_1__1__9_chany_top_out[0:9]), + .bottom_right_grid_left_width_0_height_0_subtile_0__pin_O_1_(grid_clb_13_left_width_0_height_0_subtile_0__pin_O_1_), + .bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_3_(grid_clb_9_right_width_0_height_0_subtile_0__pin_O_3_), + .chanx_left_in(cbx_1__1__7_chanx_right_out[0:9]), + .left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_10_bottom_width_0_height_0_subtile_0__pin_O_0_), + .left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_9_top_width_0_height_0_subtile_0__pin_O_2_), + .ccff_head(grid_clb_6_ccff_tail), + .chany_top_out(sb_1__1__7_chany_top_out[0:9]), + .chanx_right_out(sb_1__1__7_chanx_right_out[0:9]), + .chany_bottom_out(sb_1__1__7_chany_bottom_out[0:9]), + .chanx_left_out(sb_1__1__7_chanx_left_out[0:9]), + .ccff_tail(sb_1__1__7_ccff_tail)); + + sb_1__1_ sb_3__3_ ( + .prog_clk(prog_clk), + .chany_top_in(cby_1__1__11_chany_bottom_out[0:9]), + .top_left_grid_right_width_0_height_0_subtile_0__pin_O_3_(grid_clb_11_right_width_0_height_0_subtile_0__pin_O_3_), + .top_right_grid_left_width_0_height_0_subtile_0__pin_O_1_(grid_clb_15_left_width_0_height_0_subtile_0__pin_O_1_), + .chanx_right_in(cbx_1__1__11_chanx_left_out[0:9]), + .right_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_15_bottom_width_0_height_0_subtile_0__pin_O_0_), + .right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_14_top_width_0_height_0_subtile_0__pin_O_2_), + .chany_bottom_in(cby_1__1__10_chany_top_out[0:9]), + .bottom_right_grid_left_width_0_height_0_subtile_0__pin_O_1_(grid_clb_14_left_width_0_height_0_subtile_0__pin_O_1_), + .bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_3_(grid_clb_10_right_width_0_height_0_subtile_0__pin_O_3_), + .chanx_left_in(cbx_1__1__8_chanx_right_out[0:9]), + .left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_11_bottom_width_0_height_0_subtile_0__pin_O_0_), + .left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_10_top_width_0_height_0_subtile_0__pin_O_2_), + .ccff_head(grid_clb_15_ccff_tail), + .chany_top_out(sb_1__1__8_chany_top_out[0:9]), + .chanx_right_out(sb_1__1__8_chanx_right_out[0:9]), + .chany_bottom_out(sb_1__1__8_chany_bottom_out[0:9]), + .chanx_left_out(sb_1__1__8_chanx_left_out[0:9]), + .ccff_tail(sb_1__1__8_ccff_tail)); + + sb_1__4_ sb_1__4_ ( + .prog_clk(prog_clk), + .chanx_right_in(cbx_1__4__1_chanx_left_out[0:9]), + .right_top_grid_bottom_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_top_1_bottom_width_0_height_0_subtile_0__pin_inpad_0_), + .right_top_grid_bottom_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_top_1_bottom_width_0_height_0_subtile_1__pin_inpad_0_), + .right_top_grid_bottom_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_top_1_bottom_width_0_height_0_subtile_2__pin_inpad_0_), + .right_top_grid_bottom_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_top_1_bottom_width_0_height_0_subtile_3__pin_inpad_0_), + .right_top_grid_bottom_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_top_1_bottom_width_0_height_0_subtile_4__pin_inpad_0_), + .right_top_grid_bottom_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_top_1_bottom_width_0_height_0_subtile_5__pin_inpad_0_), + .right_top_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_top_1_bottom_width_0_height_0_subtile_6__pin_inpad_0_), + .right_top_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_top_1_bottom_width_0_height_0_subtile_7__pin_inpad_0_), + .right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_7_top_width_0_height_0_subtile_0__pin_O_2_), + .chany_bottom_in(cby_1__1__3_chany_top_out[0:9]), + .bottom_right_grid_left_width_0_height_0_subtile_0__pin_O_1_(grid_clb_7_left_width_0_height_0_subtile_0__pin_O_1_), + .bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_3_(grid_clb_3_right_width_0_height_0_subtile_0__pin_O_3_), + .chanx_left_in(cbx_1__4__0_chanx_right_out[0:9]), + .left_top_grid_bottom_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_top_0_bottom_width_0_height_0_subtile_0__pin_inpad_0_), + .left_top_grid_bottom_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_top_0_bottom_width_0_height_0_subtile_1__pin_inpad_0_), + .left_top_grid_bottom_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_top_0_bottom_width_0_height_0_subtile_2__pin_inpad_0_), + .left_top_grid_bottom_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_top_0_bottom_width_0_height_0_subtile_3__pin_inpad_0_), + .left_top_grid_bottom_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_top_0_bottom_width_0_height_0_subtile_4__pin_inpad_0_), + .left_top_grid_bottom_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_top_0_bottom_width_0_height_0_subtile_5__pin_inpad_0_), + .left_top_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_top_0_bottom_width_0_height_0_subtile_6__pin_inpad_0_), + .left_top_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_top_0_bottom_width_0_height_0_subtile_7__pin_inpad_0_), + .left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_3_top_width_0_height_0_subtile_0__pin_O_2_), + .ccff_head(grid_io_top_1_ccff_tail), + .chanx_right_out(sb_1__4__0_chanx_right_out[0:9]), + .chany_bottom_out(sb_1__4__0_chany_bottom_out[0:9]), + .chanx_left_out(sb_1__4__0_chanx_left_out[0:9]), + .ccff_tail(sb_1__4__0_ccff_tail)); + + sb_1__4_ sb_2__4_ ( + .prog_clk(prog_clk), + .chanx_right_in(cbx_1__4__2_chanx_left_out[0:9]), + .right_top_grid_bottom_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_top_2_bottom_width_0_height_0_subtile_0__pin_inpad_0_), + .right_top_grid_bottom_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_top_2_bottom_width_0_height_0_subtile_1__pin_inpad_0_), + .right_top_grid_bottom_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_top_2_bottom_width_0_height_0_subtile_2__pin_inpad_0_), + .right_top_grid_bottom_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_top_2_bottom_width_0_height_0_subtile_3__pin_inpad_0_), + .right_top_grid_bottom_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_top_2_bottom_width_0_height_0_subtile_4__pin_inpad_0_), + .right_top_grid_bottom_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_top_2_bottom_width_0_height_0_subtile_5__pin_inpad_0_), + .right_top_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_top_2_bottom_width_0_height_0_subtile_6__pin_inpad_0_), + .right_top_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_top_2_bottom_width_0_height_0_subtile_7__pin_inpad_0_), + .right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_11_top_width_0_height_0_subtile_0__pin_O_2_), + .chany_bottom_in(cby_1__1__7_chany_top_out[0:9]), + .bottom_right_grid_left_width_0_height_0_subtile_0__pin_O_1_(grid_clb_11_left_width_0_height_0_subtile_0__pin_O_1_), + .bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_3_(grid_clb_7_right_width_0_height_0_subtile_0__pin_O_3_), + .chanx_left_in(cbx_1__4__1_chanx_right_out[0:9]), + .left_top_grid_bottom_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_top_1_bottom_width_0_height_0_subtile_0__pin_inpad_0_), + .left_top_grid_bottom_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_top_1_bottom_width_0_height_0_subtile_1__pin_inpad_0_), + .left_top_grid_bottom_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_top_1_bottom_width_0_height_0_subtile_2__pin_inpad_0_), + .left_top_grid_bottom_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_top_1_bottom_width_0_height_0_subtile_3__pin_inpad_0_), + .left_top_grid_bottom_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_top_1_bottom_width_0_height_0_subtile_4__pin_inpad_0_), + .left_top_grid_bottom_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_top_1_bottom_width_0_height_0_subtile_5__pin_inpad_0_), + .left_top_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_top_1_bottom_width_0_height_0_subtile_6__pin_inpad_0_), + .left_top_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_top_1_bottom_width_0_height_0_subtile_7__pin_inpad_0_), + .left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_7_top_width_0_height_0_subtile_0__pin_O_2_), + .ccff_head(grid_io_top_2_ccff_tail), + .chanx_right_out(sb_1__4__1_chanx_right_out[0:9]), + .chany_bottom_out(sb_1__4__1_chany_bottom_out[0:9]), + .chanx_left_out(sb_1__4__1_chanx_left_out[0:9]), + .ccff_tail(sb_1__4__1_ccff_tail)); + + sb_1__4_ sb_3__4_ ( + .prog_clk(prog_clk), + .chanx_right_in(cbx_1__4__3_chanx_left_out[0:9]), + .right_top_grid_bottom_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_top_3_bottom_width_0_height_0_subtile_0__pin_inpad_0_), + .right_top_grid_bottom_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_top_3_bottom_width_0_height_0_subtile_1__pin_inpad_0_), + .right_top_grid_bottom_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_top_3_bottom_width_0_height_0_subtile_2__pin_inpad_0_), + .right_top_grid_bottom_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_top_3_bottom_width_0_height_0_subtile_3__pin_inpad_0_), + .right_top_grid_bottom_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_top_3_bottom_width_0_height_0_subtile_4__pin_inpad_0_), + .right_top_grid_bottom_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_top_3_bottom_width_0_height_0_subtile_5__pin_inpad_0_), + .right_top_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_top_3_bottom_width_0_height_0_subtile_6__pin_inpad_0_), + .right_top_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_top_3_bottom_width_0_height_0_subtile_7__pin_inpad_0_), + .right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_15_top_width_0_height_0_subtile_0__pin_O_2_), + .chany_bottom_in(cby_1__1__11_chany_top_out[0:9]), + .bottom_right_grid_left_width_0_height_0_subtile_0__pin_O_1_(grid_clb_15_left_width_0_height_0_subtile_0__pin_O_1_), + .bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_3_(grid_clb_11_right_width_0_height_0_subtile_0__pin_O_3_), + .chanx_left_in(cbx_1__4__2_chanx_right_out[0:9]), + .left_top_grid_bottom_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_top_2_bottom_width_0_height_0_subtile_0__pin_inpad_0_), + .left_top_grid_bottom_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_top_2_bottom_width_0_height_0_subtile_1__pin_inpad_0_), + .left_top_grid_bottom_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_top_2_bottom_width_0_height_0_subtile_2__pin_inpad_0_), + .left_top_grid_bottom_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_top_2_bottom_width_0_height_0_subtile_3__pin_inpad_0_), + .left_top_grid_bottom_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_top_2_bottom_width_0_height_0_subtile_4__pin_inpad_0_), + .left_top_grid_bottom_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_top_2_bottom_width_0_height_0_subtile_5__pin_inpad_0_), + .left_top_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_top_2_bottom_width_0_height_0_subtile_6__pin_inpad_0_), + .left_top_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_top_2_bottom_width_0_height_0_subtile_7__pin_inpad_0_), + .left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_11_top_width_0_height_0_subtile_0__pin_O_2_), + .ccff_head(grid_io_top_3_ccff_tail), + .chanx_right_out(sb_1__4__2_chanx_right_out[0:9]), + .chany_bottom_out(sb_1__4__2_chany_bottom_out[0:9]), + .chanx_left_out(sb_1__4__2_chanx_left_out[0:9]), + .ccff_tail(sb_1__4__2_ccff_tail)); + + sb_4__0_ sb_4__0_ ( + .prog_clk(prog_clk), + .chany_top_in(cby_4__1__0_chany_bottom_out[0:9]), + .top_left_grid_right_width_0_height_0_subtile_0__pin_O_3_(grid_clb_12_right_width_0_height_0_subtile_0__pin_O_3_), + .top_right_grid_left_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_right_3_left_width_0_height_0_subtile_0__pin_inpad_0_), + .top_right_grid_left_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_right_3_left_width_0_height_0_subtile_1__pin_inpad_0_), + .top_right_grid_left_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_right_3_left_width_0_height_0_subtile_2__pin_inpad_0_), + .top_right_grid_left_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_right_3_left_width_0_height_0_subtile_3__pin_inpad_0_), + .top_right_grid_left_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_right_3_left_width_0_height_0_subtile_4__pin_inpad_0_), + .top_right_grid_left_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_right_3_left_width_0_height_0_subtile_5__pin_inpad_0_), + .top_right_grid_left_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_right_3_left_width_0_height_0_subtile_6__pin_inpad_0_), + .top_right_grid_left_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_right_3_left_width_0_height_0_subtile_7__pin_inpad_0_), + .chanx_left_in(cbx_1__0__3_chanx_right_out[0:9]), + .left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_12_bottom_width_0_height_0_subtile_0__pin_O_0_), + .left_bottom_grid_top_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_bottom_0_top_width_0_height_0_subtile_0__pin_inpad_0_), + .left_bottom_grid_top_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_bottom_0_top_width_0_height_0_subtile_1__pin_inpad_0_), + .left_bottom_grid_top_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_bottom_0_top_width_0_height_0_subtile_2__pin_inpad_0_), + .left_bottom_grid_top_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_bottom_0_top_width_0_height_0_subtile_3__pin_inpad_0_), + .left_bottom_grid_top_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_bottom_0_top_width_0_height_0_subtile_4__pin_inpad_0_), + .left_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_bottom_0_top_width_0_height_0_subtile_5__pin_inpad_0_), + .left_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_bottom_0_top_width_0_height_0_subtile_6__pin_inpad_0_), + .left_bottom_grid_top_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_bottom_0_top_width_0_height_0_subtile_7__pin_inpad_0_), + .ccff_head(grid_clb_8_ccff_tail), + .chany_top_out(sb_4__0__0_chany_top_out[0:9]), + .chanx_left_out(sb_4__0__0_chanx_left_out[0:9]), + .ccff_tail(sb_4__0__0_ccff_tail)); + + sb_4__1_ sb_4__1_ ( + .prog_clk(prog_clk), + .chany_top_in(cby_4__1__1_chany_bottom_out[0:9]), + .top_left_grid_right_width_0_height_0_subtile_0__pin_O_3_(grid_clb_13_right_width_0_height_0_subtile_0__pin_O_3_), + .top_right_grid_left_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_right_2_left_width_0_height_0_subtile_0__pin_inpad_0_), + .top_right_grid_left_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_right_2_left_width_0_height_0_subtile_1__pin_inpad_0_), + .top_right_grid_left_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_right_2_left_width_0_height_0_subtile_2__pin_inpad_0_), + .top_right_grid_left_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_right_2_left_width_0_height_0_subtile_3__pin_inpad_0_), + .top_right_grid_left_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_right_2_left_width_0_height_0_subtile_4__pin_inpad_0_), + .top_right_grid_left_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_right_2_left_width_0_height_0_subtile_5__pin_inpad_0_), + .top_right_grid_left_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_right_2_left_width_0_height_0_subtile_6__pin_inpad_0_), + .top_right_grid_left_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_right_2_left_width_0_height_0_subtile_7__pin_inpad_0_), + .chany_bottom_in(cby_4__1__0_chany_top_out[0:9]), + .bottom_right_grid_left_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_right_3_left_width_0_height_0_subtile_0__pin_inpad_0_), + .bottom_right_grid_left_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_right_3_left_width_0_height_0_subtile_1__pin_inpad_0_), + .bottom_right_grid_left_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_right_3_left_width_0_height_0_subtile_2__pin_inpad_0_), + .bottom_right_grid_left_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_right_3_left_width_0_height_0_subtile_3__pin_inpad_0_), + .bottom_right_grid_left_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_right_3_left_width_0_height_0_subtile_4__pin_inpad_0_), + .bottom_right_grid_left_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_right_3_left_width_0_height_0_subtile_5__pin_inpad_0_), + .bottom_right_grid_left_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_right_3_left_width_0_height_0_subtile_6__pin_inpad_0_), + .bottom_right_grid_left_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_right_3_left_width_0_height_0_subtile_7__pin_inpad_0_), + .bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_3_(grid_clb_12_right_width_0_height_0_subtile_0__pin_O_3_), + .chanx_left_in(cbx_1__1__9_chanx_right_out[0:9]), + .left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_13_bottom_width_0_height_0_subtile_0__pin_O_0_), + .left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_12_top_width_0_height_0_subtile_0__pin_O_2_), + .ccff_head(grid_clb_12_ccff_tail), + .chany_top_out(sb_4__1__0_chany_top_out[0:9]), + .chany_bottom_out(sb_4__1__0_chany_bottom_out[0:9]), + .chanx_left_out(sb_4__1__0_chanx_left_out[0:9]), + .ccff_tail(sb_4__1__0_ccff_tail)); + + sb_4__1_ sb_4__2_ ( + .prog_clk(prog_clk), + .chany_top_in(cby_4__1__2_chany_bottom_out[0:9]), + .top_left_grid_right_width_0_height_0_subtile_0__pin_O_3_(grid_clb_14_right_width_0_height_0_subtile_0__pin_O_3_), + .top_right_grid_left_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_right_1_left_width_0_height_0_subtile_0__pin_inpad_0_), + .top_right_grid_left_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_right_1_left_width_0_height_0_subtile_1__pin_inpad_0_), + .top_right_grid_left_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_right_1_left_width_0_height_0_subtile_2__pin_inpad_0_), + .top_right_grid_left_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_right_1_left_width_0_height_0_subtile_3__pin_inpad_0_), + .top_right_grid_left_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_right_1_left_width_0_height_0_subtile_4__pin_inpad_0_), + .top_right_grid_left_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_right_1_left_width_0_height_0_subtile_5__pin_inpad_0_), + .top_right_grid_left_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_right_1_left_width_0_height_0_subtile_6__pin_inpad_0_), + .top_right_grid_left_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_right_1_left_width_0_height_0_subtile_7__pin_inpad_0_), + .chany_bottom_in(cby_4__1__1_chany_top_out[0:9]), + .bottom_right_grid_left_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_right_2_left_width_0_height_0_subtile_0__pin_inpad_0_), + .bottom_right_grid_left_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_right_2_left_width_0_height_0_subtile_1__pin_inpad_0_), + .bottom_right_grid_left_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_right_2_left_width_0_height_0_subtile_2__pin_inpad_0_), + .bottom_right_grid_left_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_right_2_left_width_0_height_0_subtile_3__pin_inpad_0_), + .bottom_right_grid_left_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_right_2_left_width_0_height_0_subtile_4__pin_inpad_0_), + .bottom_right_grid_left_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_right_2_left_width_0_height_0_subtile_5__pin_inpad_0_), + .bottom_right_grid_left_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_right_2_left_width_0_height_0_subtile_6__pin_inpad_0_), + .bottom_right_grid_left_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_right_2_left_width_0_height_0_subtile_7__pin_inpad_0_), + .bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_3_(grid_clb_13_right_width_0_height_0_subtile_0__pin_O_3_), + .chanx_left_in(cbx_1__1__10_chanx_right_out[0:9]), + .left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_14_bottom_width_0_height_0_subtile_0__pin_O_0_), + .left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_13_top_width_0_height_0_subtile_0__pin_O_2_), + .ccff_head(grid_clb_10_ccff_tail), + .chany_top_out(sb_4__1__1_chany_top_out[0:9]), + .chany_bottom_out(sb_4__1__1_chany_bottom_out[0:9]), + .chanx_left_out(sb_4__1__1_chanx_left_out[0:9]), + .ccff_tail(sb_4__1__1_ccff_tail)); + + sb_4__1_ sb_4__3_ ( + .prog_clk(prog_clk), + .chany_top_in(cby_4__1__3_chany_bottom_out[0:9]), + .top_left_grid_right_width_0_height_0_subtile_0__pin_O_3_(grid_clb_15_right_width_0_height_0_subtile_0__pin_O_3_), + .top_right_grid_left_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_right_0_left_width_0_height_0_subtile_0__pin_inpad_0_), + .top_right_grid_left_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_right_0_left_width_0_height_0_subtile_1__pin_inpad_0_), + .top_right_grid_left_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_right_0_left_width_0_height_0_subtile_2__pin_inpad_0_), + .top_right_grid_left_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_right_0_left_width_0_height_0_subtile_3__pin_inpad_0_), + .top_right_grid_left_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_right_0_left_width_0_height_0_subtile_4__pin_inpad_0_), + .top_right_grid_left_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_right_0_left_width_0_height_0_subtile_5__pin_inpad_0_), + .top_right_grid_left_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_right_0_left_width_0_height_0_subtile_6__pin_inpad_0_), + .top_right_grid_left_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_right_0_left_width_0_height_0_subtile_7__pin_inpad_0_), + .chany_bottom_in(cby_4__1__2_chany_top_out[0:9]), + .bottom_right_grid_left_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_right_1_left_width_0_height_0_subtile_0__pin_inpad_0_), + .bottom_right_grid_left_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_right_1_left_width_0_height_0_subtile_1__pin_inpad_0_), + .bottom_right_grid_left_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_right_1_left_width_0_height_0_subtile_2__pin_inpad_0_), + .bottom_right_grid_left_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_right_1_left_width_0_height_0_subtile_3__pin_inpad_0_), + .bottom_right_grid_left_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_right_1_left_width_0_height_0_subtile_4__pin_inpad_0_), + .bottom_right_grid_left_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_right_1_left_width_0_height_0_subtile_5__pin_inpad_0_), + .bottom_right_grid_left_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_right_1_left_width_0_height_0_subtile_6__pin_inpad_0_), + .bottom_right_grid_left_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_right_1_left_width_0_height_0_subtile_7__pin_inpad_0_), + .bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_3_(grid_clb_14_right_width_0_height_0_subtile_0__pin_O_3_), + .chanx_left_in(cbx_1__1__11_chanx_right_out[0:9]), + .left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_15_bottom_width_0_height_0_subtile_0__pin_O_0_), + .left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_14_top_width_0_height_0_subtile_0__pin_O_2_), + .ccff_head(grid_clb_14_ccff_tail), + .chany_top_out(sb_4__1__2_chany_top_out[0:9]), + .chany_bottom_out(sb_4__1__2_chany_bottom_out[0:9]), + .chanx_left_out(sb_4__1__2_chanx_left_out[0:9]), + .ccff_tail(sb_4__1__2_ccff_tail)); + + sb_4__4_ sb_4__4_ ( + .prog_clk(prog_clk), + .chany_bottom_in(cby_4__1__3_chany_top_out[0:9]), + .bottom_right_grid_left_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_right_0_left_width_0_height_0_subtile_0__pin_inpad_0_), + .bottom_right_grid_left_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_right_0_left_width_0_height_0_subtile_1__pin_inpad_0_), + .bottom_right_grid_left_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_right_0_left_width_0_height_0_subtile_2__pin_inpad_0_), + .bottom_right_grid_left_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_right_0_left_width_0_height_0_subtile_3__pin_inpad_0_), + .bottom_right_grid_left_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_right_0_left_width_0_height_0_subtile_4__pin_inpad_0_), + .bottom_right_grid_left_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_right_0_left_width_0_height_0_subtile_5__pin_inpad_0_), + .bottom_right_grid_left_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_right_0_left_width_0_height_0_subtile_6__pin_inpad_0_), + .bottom_right_grid_left_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_right_0_left_width_0_height_0_subtile_7__pin_inpad_0_), + .bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_3_(grid_clb_15_right_width_0_height_0_subtile_0__pin_O_3_), + .chanx_left_in(cbx_1__4__3_chanx_right_out[0:9]), + .left_top_grid_bottom_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_top_3_bottom_width_0_height_0_subtile_0__pin_inpad_0_), + .left_top_grid_bottom_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_top_3_bottom_width_0_height_0_subtile_1__pin_inpad_0_), + .left_top_grid_bottom_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_top_3_bottom_width_0_height_0_subtile_2__pin_inpad_0_), + .left_top_grid_bottom_width_0_height_0_subtile_3__pin_inpad_0_(grid_io_top_3_bottom_width_0_height_0_subtile_3__pin_inpad_0_), + .left_top_grid_bottom_width_0_height_0_subtile_4__pin_inpad_0_(grid_io_top_3_bottom_width_0_height_0_subtile_4__pin_inpad_0_), + .left_top_grid_bottom_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_top_3_bottom_width_0_height_0_subtile_5__pin_inpad_0_), + .left_top_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_top_3_bottom_width_0_height_0_subtile_6__pin_inpad_0_), + .left_top_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_top_3_bottom_width_0_height_0_subtile_7__pin_inpad_0_), + .left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_15_top_width_0_height_0_subtile_0__pin_O_2_), + .ccff_head(grid_io_right_0_ccff_tail), + .chany_bottom_out(sb_4__4__0_chany_bottom_out[0:9]), + .chanx_left_out(sb_4__4__0_chanx_left_out[0:9]), + .ccff_tail(sb_4__4__0_ccff_tail)); + + cbx_1__0_ cbx_1__0_ ( + .prog_clk(prog_clk), + .chanx_left_in(sb_0__0__0_chanx_right_out[0:9]), + .chanx_right_in(sb_1__0__0_chanx_left_out[0:9]), + .ccff_head(sb_1__0__0_ccff_tail), + .chanx_left_out(cbx_1__0__0_chanx_left_out[0:9]), + .chanx_right_out(cbx_1__0__0_chanx_right_out[0:9]), + .top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_(cbx_1__0__0_top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_), + .top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_(cbx_1__0__0_top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_), + .top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_(cbx_1__0__0_top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_outpad_0_(cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_0__pin_outpad_0_), + .bottom_grid_top_width_0_height_0_subtile_1__pin_outpad_0_(cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_1__pin_outpad_0_), + .bottom_grid_top_width_0_height_0_subtile_2__pin_outpad_0_(cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_2__pin_outpad_0_), + .bottom_grid_top_width_0_height_0_subtile_3__pin_outpad_0_(cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_3__pin_outpad_0_), + .bottom_grid_top_width_0_height_0_subtile_4__pin_outpad_0_(cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_4__pin_outpad_0_), + .bottom_grid_top_width_0_height_0_subtile_5__pin_outpad_0_(cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_5__pin_outpad_0_), + .bottom_grid_top_width_0_height_0_subtile_6__pin_outpad_0_(cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_6__pin_outpad_0_), + .bottom_grid_top_width_0_height_0_subtile_7__pin_outpad_0_(cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_7__pin_outpad_0_), + .ccff_tail(cbx_1__0__0_ccff_tail)); + + cbx_1__0_ cbx_2__0_ ( + .prog_clk(prog_clk), + .chanx_left_in(sb_1__0__0_chanx_right_out[0:9]), + .chanx_right_in(sb_1__0__1_chanx_left_out[0:9]), + .ccff_head(sb_1__0__1_ccff_tail), + .chanx_left_out(cbx_1__0__1_chanx_left_out[0:9]), + .chanx_right_out(cbx_1__0__1_chanx_right_out[0:9]), + .top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_(cbx_1__0__1_top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_), + .top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_(cbx_1__0__1_top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_), + .top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_(cbx_1__0__1_top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_outpad_0_(cbx_1__0__1_bottom_grid_top_width_0_height_0_subtile_0__pin_outpad_0_), + .bottom_grid_top_width_0_height_0_subtile_1__pin_outpad_0_(cbx_1__0__1_bottom_grid_top_width_0_height_0_subtile_1__pin_outpad_0_), + .bottom_grid_top_width_0_height_0_subtile_2__pin_outpad_0_(cbx_1__0__1_bottom_grid_top_width_0_height_0_subtile_2__pin_outpad_0_), + .bottom_grid_top_width_0_height_0_subtile_3__pin_outpad_0_(cbx_1__0__1_bottom_grid_top_width_0_height_0_subtile_3__pin_outpad_0_), + .bottom_grid_top_width_0_height_0_subtile_4__pin_outpad_0_(cbx_1__0__1_bottom_grid_top_width_0_height_0_subtile_4__pin_outpad_0_), + .bottom_grid_top_width_0_height_0_subtile_5__pin_outpad_0_(cbx_1__0__1_bottom_grid_top_width_0_height_0_subtile_5__pin_outpad_0_), + .bottom_grid_top_width_0_height_0_subtile_6__pin_outpad_0_(cbx_1__0__1_bottom_grid_top_width_0_height_0_subtile_6__pin_outpad_0_), + .bottom_grid_top_width_0_height_0_subtile_7__pin_outpad_0_(cbx_1__0__1_bottom_grid_top_width_0_height_0_subtile_7__pin_outpad_0_), + .ccff_tail(cbx_1__0__1_ccff_tail)); + + cbx_1__0_ cbx_3__0_ ( + .prog_clk(prog_clk), + .chanx_left_in(sb_1__0__1_chanx_right_out[0:9]), + .chanx_right_in(sb_1__0__2_chanx_left_out[0:9]), + .ccff_head(sb_1__0__2_ccff_tail), + .chanx_left_out(cbx_1__0__2_chanx_left_out[0:9]), + .chanx_right_out(cbx_1__0__2_chanx_right_out[0:9]), + .top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_(cbx_1__0__2_top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_), + .top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_(cbx_1__0__2_top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_), + .top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_(cbx_1__0__2_top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_outpad_0_(cbx_1__0__2_bottom_grid_top_width_0_height_0_subtile_0__pin_outpad_0_), + .bottom_grid_top_width_0_height_0_subtile_1__pin_outpad_0_(cbx_1__0__2_bottom_grid_top_width_0_height_0_subtile_1__pin_outpad_0_), + .bottom_grid_top_width_0_height_0_subtile_2__pin_outpad_0_(cbx_1__0__2_bottom_grid_top_width_0_height_0_subtile_2__pin_outpad_0_), + .bottom_grid_top_width_0_height_0_subtile_3__pin_outpad_0_(cbx_1__0__2_bottom_grid_top_width_0_height_0_subtile_3__pin_outpad_0_), + .bottom_grid_top_width_0_height_0_subtile_4__pin_outpad_0_(cbx_1__0__2_bottom_grid_top_width_0_height_0_subtile_4__pin_outpad_0_), + .bottom_grid_top_width_0_height_0_subtile_5__pin_outpad_0_(cbx_1__0__2_bottom_grid_top_width_0_height_0_subtile_5__pin_outpad_0_), + .bottom_grid_top_width_0_height_0_subtile_6__pin_outpad_0_(cbx_1__0__2_bottom_grid_top_width_0_height_0_subtile_6__pin_outpad_0_), + .bottom_grid_top_width_0_height_0_subtile_7__pin_outpad_0_(cbx_1__0__2_bottom_grid_top_width_0_height_0_subtile_7__pin_outpad_0_), + .ccff_tail(cbx_1__0__2_ccff_tail)); + + cbx_1__0_ cbx_4__0_ ( + .prog_clk(prog_clk), + .chanx_left_in(sb_1__0__2_chanx_right_out[0:9]), + .chanx_right_in(sb_4__0__0_chanx_left_out[0:9]), + .ccff_head(sb_4__0__0_ccff_tail), + .chanx_left_out(cbx_1__0__3_chanx_left_out[0:9]), + .chanx_right_out(cbx_1__0__3_chanx_right_out[0:9]), + .top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_(cbx_1__0__3_top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_), + .top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_(cbx_1__0__3_top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_), + .top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_(cbx_1__0__3_top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_outpad_0_(cbx_1__0__3_bottom_grid_top_width_0_height_0_subtile_0__pin_outpad_0_), + .bottom_grid_top_width_0_height_0_subtile_1__pin_outpad_0_(cbx_1__0__3_bottom_grid_top_width_0_height_0_subtile_1__pin_outpad_0_), + .bottom_grid_top_width_0_height_0_subtile_2__pin_outpad_0_(cbx_1__0__3_bottom_grid_top_width_0_height_0_subtile_2__pin_outpad_0_), + .bottom_grid_top_width_0_height_0_subtile_3__pin_outpad_0_(cbx_1__0__3_bottom_grid_top_width_0_height_0_subtile_3__pin_outpad_0_), + .bottom_grid_top_width_0_height_0_subtile_4__pin_outpad_0_(cbx_1__0__3_bottom_grid_top_width_0_height_0_subtile_4__pin_outpad_0_), + .bottom_grid_top_width_0_height_0_subtile_5__pin_outpad_0_(cbx_1__0__3_bottom_grid_top_width_0_height_0_subtile_5__pin_outpad_0_), + .bottom_grid_top_width_0_height_0_subtile_6__pin_outpad_0_(cbx_1__0__3_bottom_grid_top_width_0_height_0_subtile_6__pin_outpad_0_), + .bottom_grid_top_width_0_height_0_subtile_7__pin_outpad_0_(cbx_1__0__3_bottom_grid_top_width_0_height_0_subtile_7__pin_outpad_0_), + .ccff_tail(cbx_1__0__3_ccff_tail)); + + cbx_1__1_ cbx_1__1_ ( + .prog_clk(prog_clk), + .chanx_left_in(sb_0__1__0_chanx_right_out[0:9]), + .chanx_right_in(sb_1__1__0_chanx_left_out[0:9]), + .ccff_head(sb_1__1__0_ccff_tail), + .chanx_left_out(cbx_1__1__0_chanx_left_out[0:9]), + .chanx_right_out(cbx_1__1__0_chanx_right_out[0:9]), + .top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_(cbx_1__1__0_top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_), + .top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_(cbx_1__1__0_top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_), + .top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_(cbx_1__1__0_top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_(cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_(cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_(cbx_1__1__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_), + .ccff_tail(cbx_1__1__0_ccff_tail)); + + cbx_1__1_ cbx_1__2_ ( + .prog_clk(prog_clk), + .chanx_left_in(sb_0__1__1_chanx_right_out[0:9]), + .chanx_right_in(sb_1__1__1_chanx_left_out[0:9]), + .ccff_head(sb_1__1__1_ccff_tail), + .chanx_left_out(cbx_1__1__1_chanx_left_out[0:9]), + .chanx_right_out(cbx_1__1__1_chanx_right_out[0:9]), + .top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_(cbx_1__1__1_top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_), + .top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_(cbx_1__1__1_top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_), + .top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_(cbx_1__1__1_top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_(cbx_1__1__1_bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_(cbx_1__1__1_bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_(cbx_1__1__1_bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_), + .ccff_tail(cbx_1__1__1_ccff_tail)); + + cbx_1__1_ cbx_1__3_ ( + .prog_clk(prog_clk), + .chanx_left_in(sb_0__1__2_chanx_right_out[0:9]), + .chanx_right_in(sb_1__1__2_chanx_left_out[0:9]), + .ccff_head(sb_1__1__2_ccff_tail), + .chanx_left_out(cbx_1__1__2_chanx_left_out[0:9]), + .chanx_right_out(cbx_1__1__2_chanx_right_out[0:9]), + .top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_(cbx_1__1__2_top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_), + .top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_(cbx_1__1__2_top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_), + .top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_(cbx_1__1__2_top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_(cbx_1__1__2_bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_(cbx_1__1__2_bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_(cbx_1__1__2_bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_), + .ccff_tail(cbx_1__1__2_ccff_tail)); + + cbx_1__1_ cbx_2__1_ ( + .prog_clk(prog_clk), + .chanx_left_in(sb_1__1__0_chanx_right_out[0:9]), + .chanx_right_in(sb_1__1__3_chanx_left_out[0:9]), + .ccff_head(sb_1__1__3_ccff_tail), + .chanx_left_out(cbx_1__1__3_chanx_left_out[0:9]), + .chanx_right_out(cbx_1__1__3_chanx_right_out[0:9]), + .top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_(cbx_1__1__3_top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_), + .top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_(cbx_1__1__3_top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_), + .top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_(cbx_1__1__3_top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_(cbx_1__1__3_bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_(cbx_1__1__3_bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_(cbx_1__1__3_bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_), + .ccff_tail(cbx_1__1__3_ccff_tail)); + + cbx_1__1_ cbx_2__2_ ( + .prog_clk(prog_clk), + .chanx_left_in(sb_1__1__1_chanx_right_out[0:9]), + .chanx_right_in(sb_1__1__4_chanx_left_out[0:9]), + .ccff_head(sb_1__1__4_ccff_tail), + .chanx_left_out(cbx_1__1__4_chanx_left_out[0:9]), + .chanx_right_out(cbx_1__1__4_chanx_right_out[0:9]), + .top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_(cbx_1__1__4_top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_), + .top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_(cbx_1__1__4_top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_), + .top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_(cbx_1__1__4_top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_(cbx_1__1__4_bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_(cbx_1__1__4_bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_(cbx_1__1__4_bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_), + .ccff_tail(cbx_1__1__4_ccff_tail)); + + cbx_1__1_ cbx_2__3_ ( + .prog_clk(prog_clk), + .chanx_left_in(sb_1__1__2_chanx_right_out[0:9]), + .chanx_right_in(sb_1__1__5_chanx_left_out[0:9]), + .ccff_head(sb_1__1__5_ccff_tail), + .chanx_left_out(cbx_1__1__5_chanx_left_out[0:9]), + .chanx_right_out(cbx_1__1__5_chanx_right_out[0:9]), + .top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_(cbx_1__1__5_top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_), + .top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_(cbx_1__1__5_top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_), + .top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_(cbx_1__1__5_top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_(cbx_1__1__5_bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_(cbx_1__1__5_bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_(cbx_1__1__5_bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_), + .ccff_tail(cbx_1__1__5_ccff_tail)); + + cbx_1__1_ cbx_3__1_ ( + .prog_clk(prog_clk), + .chanx_left_in(sb_1__1__3_chanx_right_out[0:9]), + .chanx_right_in(sb_1__1__6_chanx_left_out[0:9]), + .ccff_head(sb_1__1__6_ccff_tail), + .chanx_left_out(cbx_1__1__6_chanx_left_out[0:9]), + .chanx_right_out(cbx_1__1__6_chanx_right_out[0:9]), + .top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_(cbx_1__1__6_top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_), + .top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_(cbx_1__1__6_top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_), + .top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_(cbx_1__1__6_top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_(cbx_1__1__6_bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_(cbx_1__1__6_bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_(cbx_1__1__6_bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_), + .ccff_tail(cbx_1__1__6_ccff_tail)); + + cbx_1__1_ cbx_3__2_ ( + .prog_clk(prog_clk), + .chanx_left_in(sb_1__1__4_chanx_right_out[0:9]), + .chanx_right_in(sb_1__1__7_chanx_left_out[0:9]), + .ccff_head(sb_1__1__7_ccff_tail), + .chanx_left_out(cbx_1__1__7_chanx_left_out[0:9]), + .chanx_right_out(cbx_1__1__7_chanx_right_out[0:9]), + .top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_(cbx_1__1__7_top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_), + .top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_(cbx_1__1__7_top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_), + .top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_(cbx_1__1__7_top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_(cbx_1__1__7_bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_(cbx_1__1__7_bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_(cbx_1__1__7_bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_), + .ccff_tail(cbx_1__1__7_ccff_tail)); + + cbx_1__1_ cbx_3__3_ ( + .prog_clk(prog_clk), + .chanx_left_in(sb_1__1__5_chanx_right_out[0:9]), + .chanx_right_in(sb_1__1__8_chanx_left_out[0:9]), + .ccff_head(sb_1__1__8_ccff_tail), + .chanx_left_out(cbx_1__1__8_chanx_left_out[0:9]), + .chanx_right_out(cbx_1__1__8_chanx_right_out[0:9]), + .top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_(cbx_1__1__8_top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_), + .top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_(cbx_1__1__8_top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_), + .top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_(cbx_1__1__8_top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_(cbx_1__1__8_bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_(cbx_1__1__8_bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_(cbx_1__1__8_bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_), + .ccff_tail(cbx_1__1__8_ccff_tail)); + + cbx_1__1_ cbx_4__1_ ( + .prog_clk(prog_clk), + .chanx_left_in(sb_1__1__6_chanx_right_out[0:9]), + .chanx_right_in(sb_4__1__0_chanx_left_out[0:9]), + .ccff_head(sb_4__1__0_ccff_tail), + .chanx_left_out(cbx_1__1__9_chanx_left_out[0:9]), + .chanx_right_out(cbx_1__1__9_chanx_right_out[0:9]), + .top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_(cbx_1__1__9_top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_), + .top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_(cbx_1__1__9_top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_), + .top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_(cbx_1__1__9_top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_(cbx_1__1__9_bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_(cbx_1__1__9_bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_(cbx_1__1__9_bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_), + .ccff_tail(cbx_1__1__9_ccff_tail)); + + cbx_1__1_ cbx_4__2_ ( + .prog_clk(prog_clk), + .chanx_left_in(sb_1__1__7_chanx_right_out[0:9]), + .chanx_right_in(sb_4__1__1_chanx_left_out[0:9]), + .ccff_head(sb_4__1__1_ccff_tail), + .chanx_left_out(cbx_1__1__10_chanx_left_out[0:9]), + .chanx_right_out(cbx_1__1__10_chanx_right_out[0:9]), + .top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_(cbx_1__1__10_top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_), + .top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_(cbx_1__1__10_top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_), + .top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_(cbx_1__1__10_top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_(cbx_1__1__10_bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_(cbx_1__1__10_bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_(cbx_1__1__10_bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_), + .ccff_tail(cbx_1__1__10_ccff_tail)); + + cbx_1__1_ cbx_4__3_ ( + .prog_clk(prog_clk), + .chanx_left_in(sb_1__1__8_chanx_right_out[0:9]), + .chanx_right_in(sb_4__1__2_chanx_left_out[0:9]), + .ccff_head(sb_4__1__2_ccff_tail), + .chanx_left_out(cbx_1__1__11_chanx_left_out[0:9]), + .chanx_right_out(cbx_1__1__11_chanx_right_out[0:9]), + .top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_(cbx_1__1__11_top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_), + .top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_(cbx_1__1__11_top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_), + .top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_(cbx_1__1__11_top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_(cbx_1__1__11_bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_(cbx_1__1__11_bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_(cbx_1__1__11_bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_), + .ccff_tail(cbx_1__1__11_ccff_tail)); + + cbx_1__4_ cbx_1__4_ ( + .prog_clk(prog_clk), + .chanx_left_in(sb_0__4__0_chanx_right_out[0:9]), + .chanx_right_in(sb_1__4__0_chanx_left_out[0:9]), + .ccff_head(sb_1__4__0_ccff_tail), + .chanx_left_out(cbx_1__4__0_chanx_left_out[0:9]), + .chanx_right_out(cbx_1__4__0_chanx_right_out[0:9]), + .top_grid_bottom_width_0_height_0_subtile_0__pin_outpad_0_(cbx_1__4__0_top_grid_bottom_width_0_height_0_subtile_0__pin_outpad_0_), + .top_grid_bottom_width_0_height_0_subtile_1__pin_outpad_0_(cbx_1__4__0_top_grid_bottom_width_0_height_0_subtile_1__pin_outpad_0_), + .top_grid_bottom_width_0_height_0_subtile_2__pin_outpad_0_(cbx_1__4__0_top_grid_bottom_width_0_height_0_subtile_2__pin_outpad_0_), + .top_grid_bottom_width_0_height_0_subtile_3__pin_outpad_0_(cbx_1__4__0_top_grid_bottom_width_0_height_0_subtile_3__pin_outpad_0_), + .top_grid_bottom_width_0_height_0_subtile_4__pin_outpad_0_(cbx_1__4__0_top_grid_bottom_width_0_height_0_subtile_4__pin_outpad_0_), + .top_grid_bottom_width_0_height_0_subtile_5__pin_outpad_0_(cbx_1__4__0_top_grid_bottom_width_0_height_0_subtile_5__pin_outpad_0_), + .top_grid_bottom_width_0_height_0_subtile_6__pin_outpad_0_(cbx_1__4__0_top_grid_bottom_width_0_height_0_subtile_6__pin_outpad_0_), + .top_grid_bottom_width_0_height_0_subtile_7__pin_outpad_0_(cbx_1__4__0_top_grid_bottom_width_0_height_0_subtile_7__pin_outpad_0_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_(cbx_1__4__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_(cbx_1__4__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_(cbx_1__4__0_bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_), + .ccff_tail(cbx_1__4__0_ccff_tail)); + + cbx_1__4_ cbx_2__4_ ( + .prog_clk(prog_clk), + .chanx_left_in(sb_1__4__0_chanx_right_out[0:9]), + .chanx_right_in(sb_1__4__1_chanx_left_out[0:9]), + .ccff_head(sb_1__4__1_ccff_tail), + .chanx_left_out(cbx_1__4__1_chanx_left_out[0:9]), + .chanx_right_out(cbx_1__4__1_chanx_right_out[0:9]), + .top_grid_bottom_width_0_height_0_subtile_0__pin_outpad_0_(cbx_1__4__1_top_grid_bottom_width_0_height_0_subtile_0__pin_outpad_0_), + .top_grid_bottom_width_0_height_0_subtile_1__pin_outpad_0_(cbx_1__4__1_top_grid_bottom_width_0_height_0_subtile_1__pin_outpad_0_), + .top_grid_bottom_width_0_height_0_subtile_2__pin_outpad_0_(cbx_1__4__1_top_grid_bottom_width_0_height_0_subtile_2__pin_outpad_0_), + .top_grid_bottom_width_0_height_0_subtile_3__pin_outpad_0_(cbx_1__4__1_top_grid_bottom_width_0_height_0_subtile_3__pin_outpad_0_), + .top_grid_bottom_width_0_height_0_subtile_4__pin_outpad_0_(cbx_1__4__1_top_grid_bottom_width_0_height_0_subtile_4__pin_outpad_0_), + .top_grid_bottom_width_0_height_0_subtile_5__pin_outpad_0_(cbx_1__4__1_top_grid_bottom_width_0_height_0_subtile_5__pin_outpad_0_), + .top_grid_bottom_width_0_height_0_subtile_6__pin_outpad_0_(cbx_1__4__1_top_grid_bottom_width_0_height_0_subtile_6__pin_outpad_0_), + .top_grid_bottom_width_0_height_0_subtile_7__pin_outpad_0_(cbx_1__4__1_top_grid_bottom_width_0_height_0_subtile_7__pin_outpad_0_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_(cbx_1__4__1_bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_(cbx_1__4__1_bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_(cbx_1__4__1_bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_), + .ccff_tail(cbx_1__4__1_ccff_tail)); + + cbx_1__4_ cbx_3__4_ ( + .prog_clk(prog_clk), + .chanx_left_in(sb_1__4__1_chanx_right_out[0:9]), + .chanx_right_in(sb_1__4__2_chanx_left_out[0:9]), + .ccff_head(sb_1__4__2_ccff_tail), + .chanx_left_out(cbx_1__4__2_chanx_left_out[0:9]), + .chanx_right_out(cbx_1__4__2_chanx_right_out[0:9]), + .top_grid_bottom_width_0_height_0_subtile_0__pin_outpad_0_(cbx_1__4__2_top_grid_bottom_width_0_height_0_subtile_0__pin_outpad_0_), + .top_grid_bottom_width_0_height_0_subtile_1__pin_outpad_0_(cbx_1__4__2_top_grid_bottom_width_0_height_0_subtile_1__pin_outpad_0_), + .top_grid_bottom_width_0_height_0_subtile_2__pin_outpad_0_(cbx_1__4__2_top_grid_bottom_width_0_height_0_subtile_2__pin_outpad_0_), + .top_grid_bottom_width_0_height_0_subtile_3__pin_outpad_0_(cbx_1__4__2_top_grid_bottom_width_0_height_0_subtile_3__pin_outpad_0_), + .top_grid_bottom_width_0_height_0_subtile_4__pin_outpad_0_(cbx_1__4__2_top_grid_bottom_width_0_height_0_subtile_4__pin_outpad_0_), + .top_grid_bottom_width_0_height_0_subtile_5__pin_outpad_0_(cbx_1__4__2_top_grid_bottom_width_0_height_0_subtile_5__pin_outpad_0_), + .top_grid_bottom_width_0_height_0_subtile_6__pin_outpad_0_(cbx_1__4__2_top_grid_bottom_width_0_height_0_subtile_6__pin_outpad_0_), + .top_grid_bottom_width_0_height_0_subtile_7__pin_outpad_0_(cbx_1__4__2_top_grid_bottom_width_0_height_0_subtile_7__pin_outpad_0_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_(cbx_1__4__2_bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_(cbx_1__4__2_bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_(cbx_1__4__2_bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_), + .ccff_tail(cbx_1__4__2_ccff_tail)); + + cbx_1__4_ cbx_4__4_ ( + .prog_clk(prog_clk), + .chanx_left_in(sb_1__4__2_chanx_right_out[0:9]), + .chanx_right_in(sb_4__4__0_chanx_left_out[0:9]), + .ccff_head(sb_4__4__0_ccff_tail), + .chanx_left_out(cbx_1__4__3_chanx_left_out[0:9]), + .chanx_right_out(cbx_1__4__3_chanx_right_out[0:9]), + .top_grid_bottom_width_0_height_0_subtile_0__pin_outpad_0_(cbx_1__4__3_top_grid_bottom_width_0_height_0_subtile_0__pin_outpad_0_), + .top_grid_bottom_width_0_height_0_subtile_1__pin_outpad_0_(cbx_1__4__3_top_grid_bottom_width_0_height_0_subtile_1__pin_outpad_0_), + .top_grid_bottom_width_0_height_0_subtile_2__pin_outpad_0_(cbx_1__4__3_top_grid_bottom_width_0_height_0_subtile_2__pin_outpad_0_), + .top_grid_bottom_width_0_height_0_subtile_3__pin_outpad_0_(cbx_1__4__3_top_grid_bottom_width_0_height_0_subtile_3__pin_outpad_0_), + .top_grid_bottom_width_0_height_0_subtile_4__pin_outpad_0_(cbx_1__4__3_top_grid_bottom_width_0_height_0_subtile_4__pin_outpad_0_), + .top_grid_bottom_width_0_height_0_subtile_5__pin_outpad_0_(cbx_1__4__3_top_grid_bottom_width_0_height_0_subtile_5__pin_outpad_0_), + .top_grid_bottom_width_0_height_0_subtile_6__pin_outpad_0_(cbx_1__4__3_top_grid_bottom_width_0_height_0_subtile_6__pin_outpad_0_), + .top_grid_bottom_width_0_height_0_subtile_7__pin_outpad_0_(cbx_1__4__3_top_grid_bottom_width_0_height_0_subtile_7__pin_outpad_0_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_(cbx_1__4__3_bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_(cbx_1__4__3_bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_), + .bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_(cbx_1__4__3_bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_), + .ccff_tail(cbx_1__4__3_ccff_tail)); + + cby_0__1_ cby_0__1_ ( + .prog_clk(prog_clk), + .chany_bottom_in(sb_0__0__0_chany_top_out[0:9]), + .chany_top_in(sb_0__1__0_chany_bottom_out[0:9]), + .ccff_head(sb_0__0__0_ccff_tail), + .chany_bottom_out(cby_0__1__0_chany_bottom_out[0:9]), + .chany_top_out(cby_0__1__0_chany_top_out[0:9]), + .right_grid_left_width_0_height_0_subtile_0__pin_I_3_(cby_0__1__0_right_grid_left_width_0_height_0_subtile_0__pin_I_3_), + .right_grid_left_width_0_height_0_subtile_0__pin_I_7_(cby_0__1__0_right_grid_left_width_0_height_0_subtile_0__pin_I_7_), + .left_grid_right_width_0_height_0_subtile_0__pin_outpad_0_(cby_0__1__0_left_grid_right_width_0_height_0_subtile_0__pin_outpad_0_), + .left_grid_right_width_0_height_0_subtile_1__pin_outpad_0_(cby_0__1__0_left_grid_right_width_0_height_0_subtile_1__pin_outpad_0_), + .left_grid_right_width_0_height_0_subtile_2__pin_outpad_0_(cby_0__1__0_left_grid_right_width_0_height_0_subtile_2__pin_outpad_0_), + .left_grid_right_width_0_height_0_subtile_3__pin_outpad_0_(cby_0__1__0_left_grid_right_width_0_height_0_subtile_3__pin_outpad_0_), + .left_grid_right_width_0_height_0_subtile_4__pin_outpad_0_(cby_0__1__0_left_grid_right_width_0_height_0_subtile_4__pin_outpad_0_), + .left_grid_right_width_0_height_0_subtile_5__pin_outpad_0_(cby_0__1__0_left_grid_right_width_0_height_0_subtile_5__pin_outpad_0_), + .left_grid_right_width_0_height_0_subtile_6__pin_outpad_0_(cby_0__1__0_left_grid_right_width_0_height_0_subtile_6__pin_outpad_0_), + .left_grid_right_width_0_height_0_subtile_7__pin_outpad_0_(cby_0__1__0_left_grid_right_width_0_height_0_subtile_7__pin_outpad_0_), + .ccff_tail(cby_0__1__0_ccff_tail)); + + cby_0__1_ cby_0__2_ ( + .prog_clk(prog_clk), + .chany_bottom_in(sb_0__1__0_chany_top_out[0:9]), + .chany_top_in(sb_0__1__1_chany_bottom_out[0:9]), + .ccff_head(sb_0__1__0_ccff_tail), + .chany_bottom_out(cby_0__1__1_chany_bottom_out[0:9]), + .chany_top_out(cby_0__1__1_chany_top_out[0:9]), + .right_grid_left_width_0_height_0_subtile_0__pin_I_3_(cby_0__1__1_right_grid_left_width_0_height_0_subtile_0__pin_I_3_), + .right_grid_left_width_0_height_0_subtile_0__pin_I_7_(cby_0__1__1_right_grid_left_width_0_height_0_subtile_0__pin_I_7_), + .left_grid_right_width_0_height_0_subtile_0__pin_outpad_0_(cby_0__1__1_left_grid_right_width_0_height_0_subtile_0__pin_outpad_0_), + .left_grid_right_width_0_height_0_subtile_1__pin_outpad_0_(cby_0__1__1_left_grid_right_width_0_height_0_subtile_1__pin_outpad_0_), + .left_grid_right_width_0_height_0_subtile_2__pin_outpad_0_(cby_0__1__1_left_grid_right_width_0_height_0_subtile_2__pin_outpad_0_), + .left_grid_right_width_0_height_0_subtile_3__pin_outpad_0_(cby_0__1__1_left_grid_right_width_0_height_0_subtile_3__pin_outpad_0_), + .left_grid_right_width_0_height_0_subtile_4__pin_outpad_0_(cby_0__1__1_left_grid_right_width_0_height_0_subtile_4__pin_outpad_0_), + .left_grid_right_width_0_height_0_subtile_5__pin_outpad_0_(cby_0__1__1_left_grid_right_width_0_height_0_subtile_5__pin_outpad_0_), + .left_grid_right_width_0_height_0_subtile_6__pin_outpad_0_(cby_0__1__1_left_grid_right_width_0_height_0_subtile_6__pin_outpad_0_), + .left_grid_right_width_0_height_0_subtile_7__pin_outpad_0_(cby_0__1__1_left_grid_right_width_0_height_0_subtile_7__pin_outpad_0_), + .ccff_tail(cby_0__1__1_ccff_tail)); + + cby_0__1_ cby_0__3_ ( + .prog_clk(prog_clk), + .chany_bottom_in(sb_0__1__1_chany_top_out[0:9]), + .chany_top_in(sb_0__1__2_chany_bottom_out[0:9]), + .ccff_head(sb_0__1__1_ccff_tail), + .chany_bottom_out(cby_0__1__2_chany_bottom_out[0:9]), + .chany_top_out(cby_0__1__2_chany_top_out[0:9]), + .right_grid_left_width_0_height_0_subtile_0__pin_I_3_(cby_0__1__2_right_grid_left_width_0_height_0_subtile_0__pin_I_3_), + .right_grid_left_width_0_height_0_subtile_0__pin_I_7_(cby_0__1__2_right_grid_left_width_0_height_0_subtile_0__pin_I_7_), + .left_grid_right_width_0_height_0_subtile_0__pin_outpad_0_(cby_0__1__2_left_grid_right_width_0_height_0_subtile_0__pin_outpad_0_), + .left_grid_right_width_0_height_0_subtile_1__pin_outpad_0_(cby_0__1__2_left_grid_right_width_0_height_0_subtile_1__pin_outpad_0_), + .left_grid_right_width_0_height_0_subtile_2__pin_outpad_0_(cby_0__1__2_left_grid_right_width_0_height_0_subtile_2__pin_outpad_0_), + .left_grid_right_width_0_height_0_subtile_3__pin_outpad_0_(cby_0__1__2_left_grid_right_width_0_height_0_subtile_3__pin_outpad_0_), + .left_grid_right_width_0_height_0_subtile_4__pin_outpad_0_(cby_0__1__2_left_grid_right_width_0_height_0_subtile_4__pin_outpad_0_), + .left_grid_right_width_0_height_0_subtile_5__pin_outpad_0_(cby_0__1__2_left_grid_right_width_0_height_0_subtile_5__pin_outpad_0_), + .left_grid_right_width_0_height_0_subtile_6__pin_outpad_0_(cby_0__1__2_left_grid_right_width_0_height_0_subtile_6__pin_outpad_0_), + .left_grid_right_width_0_height_0_subtile_7__pin_outpad_0_(cby_0__1__2_left_grid_right_width_0_height_0_subtile_7__pin_outpad_0_), + .ccff_tail(cby_0__1__2_ccff_tail)); + + cby_0__1_ cby_0__4_ ( + .prog_clk(prog_clk), + .chany_bottom_in(sb_0__1__2_chany_top_out[0:9]), + .chany_top_in(sb_0__4__0_chany_bottom_out[0:9]), + .ccff_head(sb_0__1__2_ccff_tail), + .chany_bottom_out(cby_0__1__3_chany_bottom_out[0:9]), + .chany_top_out(cby_0__1__3_chany_top_out[0:9]), + .right_grid_left_width_0_height_0_subtile_0__pin_I_3_(cby_0__1__3_right_grid_left_width_0_height_0_subtile_0__pin_I_3_), + .right_grid_left_width_0_height_0_subtile_0__pin_I_7_(cby_0__1__3_right_grid_left_width_0_height_0_subtile_0__pin_I_7_), + .left_grid_right_width_0_height_0_subtile_0__pin_outpad_0_(cby_0__1__3_left_grid_right_width_0_height_0_subtile_0__pin_outpad_0_), + .left_grid_right_width_0_height_0_subtile_1__pin_outpad_0_(cby_0__1__3_left_grid_right_width_0_height_0_subtile_1__pin_outpad_0_), + .left_grid_right_width_0_height_0_subtile_2__pin_outpad_0_(cby_0__1__3_left_grid_right_width_0_height_0_subtile_2__pin_outpad_0_), + .left_grid_right_width_0_height_0_subtile_3__pin_outpad_0_(cby_0__1__3_left_grid_right_width_0_height_0_subtile_3__pin_outpad_0_), + .left_grid_right_width_0_height_0_subtile_4__pin_outpad_0_(cby_0__1__3_left_grid_right_width_0_height_0_subtile_4__pin_outpad_0_), + .left_grid_right_width_0_height_0_subtile_5__pin_outpad_0_(cby_0__1__3_left_grid_right_width_0_height_0_subtile_5__pin_outpad_0_), + .left_grid_right_width_0_height_0_subtile_6__pin_outpad_0_(cby_0__1__3_left_grid_right_width_0_height_0_subtile_6__pin_outpad_0_), + .left_grid_right_width_0_height_0_subtile_7__pin_outpad_0_(cby_0__1__3_left_grid_right_width_0_height_0_subtile_7__pin_outpad_0_), + .ccff_tail(cby_0__1__3_ccff_tail)); + + cby_1__1_ cby_1__1_ ( + .prog_clk(prog_clk), + .chany_bottom_in(sb_1__0__0_chany_top_out[0:9]), + .chany_top_in(sb_1__1__0_chany_bottom_out[0:9]), + .ccff_head(cbx_1__0__0_ccff_tail), + .chany_bottom_out(cby_1__1__0_chany_bottom_out[0:9]), + .chany_top_out(cby_1__1__0_chany_top_out[0:9]), + .right_grid_left_width_0_height_0_subtile_0__pin_I_3_(cby_1__1__0_right_grid_left_width_0_height_0_subtile_0__pin_I_3_), + .right_grid_left_width_0_height_0_subtile_0__pin_I_7_(cby_1__1__0_right_grid_left_width_0_height_0_subtile_0__pin_I_7_), + .left_grid_right_width_0_height_0_subtile_0__pin_I_1_(cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I_1_), + .left_grid_right_width_0_height_0_subtile_0__pin_I_5_(cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I_5_), + .left_grid_right_width_0_height_0_subtile_0__pin_I_9_(cby_1__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I_9_), + .ccff_tail(cby_1__1__0_ccff_tail)); + + cby_1__1_ cby_1__2_ ( + .prog_clk(prog_clk), + .chany_bottom_in(sb_1__1__0_chany_top_out[0:9]), + .chany_top_in(sb_1__1__1_chany_bottom_out[0:9]), + .ccff_head(cbx_1__1__0_ccff_tail), + .chany_bottom_out(cby_1__1__1_chany_bottom_out[0:9]), + .chany_top_out(cby_1__1__1_chany_top_out[0:9]), + .right_grid_left_width_0_height_0_subtile_0__pin_I_3_(cby_1__1__1_right_grid_left_width_0_height_0_subtile_0__pin_I_3_), + .right_grid_left_width_0_height_0_subtile_0__pin_I_7_(cby_1__1__1_right_grid_left_width_0_height_0_subtile_0__pin_I_7_), + .left_grid_right_width_0_height_0_subtile_0__pin_I_1_(cby_1__1__1_left_grid_right_width_0_height_0_subtile_0__pin_I_1_), + .left_grid_right_width_0_height_0_subtile_0__pin_I_5_(cby_1__1__1_left_grid_right_width_0_height_0_subtile_0__pin_I_5_), + .left_grid_right_width_0_height_0_subtile_0__pin_I_9_(cby_1__1__1_left_grid_right_width_0_height_0_subtile_0__pin_I_9_), + .ccff_tail(cby_1__1__1_ccff_tail)); + + cby_1__1_ cby_1__3_ ( + .prog_clk(prog_clk), + .chany_bottom_in(sb_1__1__1_chany_top_out[0:9]), + .chany_top_in(sb_1__1__2_chany_bottom_out[0:9]), + .ccff_head(cbx_1__1__1_ccff_tail), + .chany_bottom_out(cby_1__1__2_chany_bottom_out[0:9]), + .chany_top_out(cby_1__1__2_chany_top_out[0:9]), + .right_grid_left_width_0_height_0_subtile_0__pin_I_3_(cby_1__1__2_right_grid_left_width_0_height_0_subtile_0__pin_I_3_), + .right_grid_left_width_0_height_0_subtile_0__pin_I_7_(cby_1__1__2_right_grid_left_width_0_height_0_subtile_0__pin_I_7_), + .left_grid_right_width_0_height_0_subtile_0__pin_I_1_(cby_1__1__2_left_grid_right_width_0_height_0_subtile_0__pin_I_1_), + .left_grid_right_width_0_height_0_subtile_0__pin_I_5_(cby_1__1__2_left_grid_right_width_0_height_0_subtile_0__pin_I_5_), + .left_grid_right_width_0_height_0_subtile_0__pin_I_9_(cby_1__1__2_left_grid_right_width_0_height_0_subtile_0__pin_I_9_), + .ccff_tail(cby_1__1__2_ccff_tail)); + + cby_1__1_ cby_1__4_ ( + .prog_clk(prog_clk), + .chany_bottom_in(sb_1__1__2_chany_top_out[0:9]), + .chany_top_in(sb_1__4__0_chany_bottom_out[0:9]), + .ccff_head(cbx_1__1__2_ccff_tail), + .chany_bottom_out(cby_1__1__3_chany_bottom_out[0:9]), + .chany_top_out(cby_1__1__3_chany_top_out[0:9]), + .right_grid_left_width_0_height_0_subtile_0__pin_I_3_(cby_1__1__3_right_grid_left_width_0_height_0_subtile_0__pin_I_3_), + .right_grid_left_width_0_height_0_subtile_0__pin_I_7_(cby_1__1__3_right_grid_left_width_0_height_0_subtile_0__pin_I_7_), + .left_grid_right_width_0_height_0_subtile_0__pin_I_1_(cby_1__1__3_left_grid_right_width_0_height_0_subtile_0__pin_I_1_), + .left_grid_right_width_0_height_0_subtile_0__pin_I_5_(cby_1__1__3_left_grid_right_width_0_height_0_subtile_0__pin_I_5_), + .left_grid_right_width_0_height_0_subtile_0__pin_I_9_(cby_1__1__3_left_grid_right_width_0_height_0_subtile_0__pin_I_9_), + .ccff_tail(cby_1__1__3_ccff_tail)); + + cby_1__1_ cby_2__1_ ( + .prog_clk(prog_clk), + .chany_bottom_in(sb_1__0__1_chany_top_out[0:9]), + .chany_top_in(sb_1__1__3_chany_bottom_out[0:9]), + .ccff_head(cbx_1__0__1_ccff_tail), + .chany_bottom_out(cby_1__1__4_chany_bottom_out[0:9]), + .chany_top_out(cby_1__1__4_chany_top_out[0:9]), + .right_grid_left_width_0_height_0_subtile_0__pin_I_3_(cby_1__1__4_right_grid_left_width_0_height_0_subtile_0__pin_I_3_), + .right_grid_left_width_0_height_0_subtile_0__pin_I_7_(cby_1__1__4_right_grid_left_width_0_height_0_subtile_0__pin_I_7_), + .left_grid_right_width_0_height_0_subtile_0__pin_I_1_(cby_1__1__4_left_grid_right_width_0_height_0_subtile_0__pin_I_1_), + .left_grid_right_width_0_height_0_subtile_0__pin_I_5_(cby_1__1__4_left_grid_right_width_0_height_0_subtile_0__pin_I_5_), + .left_grid_right_width_0_height_0_subtile_0__pin_I_9_(cby_1__1__4_left_grid_right_width_0_height_0_subtile_0__pin_I_9_), + .ccff_tail(cby_1__1__4_ccff_tail)); + + cby_1__1_ cby_2__2_ ( + .prog_clk(prog_clk), + .chany_bottom_in(sb_1__1__3_chany_top_out[0:9]), + .chany_top_in(sb_1__1__4_chany_bottom_out[0:9]), + .ccff_head(cbx_1__1__3_ccff_tail), + .chany_bottom_out(cby_1__1__5_chany_bottom_out[0:9]), + .chany_top_out(cby_1__1__5_chany_top_out[0:9]), + .right_grid_left_width_0_height_0_subtile_0__pin_I_3_(cby_1__1__5_right_grid_left_width_0_height_0_subtile_0__pin_I_3_), + .right_grid_left_width_0_height_0_subtile_0__pin_I_7_(cby_1__1__5_right_grid_left_width_0_height_0_subtile_0__pin_I_7_), + .left_grid_right_width_0_height_0_subtile_0__pin_I_1_(cby_1__1__5_left_grid_right_width_0_height_0_subtile_0__pin_I_1_), + .left_grid_right_width_0_height_0_subtile_0__pin_I_5_(cby_1__1__5_left_grid_right_width_0_height_0_subtile_0__pin_I_5_), + .left_grid_right_width_0_height_0_subtile_0__pin_I_9_(cby_1__1__5_left_grid_right_width_0_height_0_subtile_0__pin_I_9_), + .ccff_tail(cby_1__1__5_ccff_tail)); + + cby_1__1_ cby_2__3_ ( + .prog_clk(prog_clk), + .chany_bottom_in(sb_1__1__4_chany_top_out[0:9]), + .chany_top_in(sb_1__1__5_chany_bottom_out[0:9]), + .ccff_head(cbx_1__1__4_ccff_tail), + .chany_bottom_out(cby_1__1__6_chany_bottom_out[0:9]), + .chany_top_out(cby_1__1__6_chany_top_out[0:9]), + .right_grid_left_width_0_height_0_subtile_0__pin_I_3_(cby_1__1__6_right_grid_left_width_0_height_0_subtile_0__pin_I_3_), + .right_grid_left_width_0_height_0_subtile_0__pin_I_7_(cby_1__1__6_right_grid_left_width_0_height_0_subtile_0__pin_I_7_), + .left_grid_right_width_0_height_0_subtile_0__pin_I_1_(cby_1__1__6_left_grid_right_width_0_height_0_subtile_0__pin_I_1_), + .left_grid_right_width_0_height_0_subtile_0__pin_I_5_(cby_1__1__6_left_grid_right_width_0_height_0_subtile_0__pin_I_5_), + .left_grid_right_width_0_height_0_subtile_0__pin_I_9_(cby_1__1__6_left_grid_right_width_0_height_0_subtile_0__pin_I_9_), + .ccff_tail(cby_1__1__6_ccff_tail)); + + cby_1__1_ cby_2__4_ ( + .prog_clk(prog_clk), + .chany_bottom_in(sb_1__1__5_chany_top_out[0:9]), + .chany_top_in(sb_1__4__1_chany_bottom_out[0:9]), + .ccff_head(cbx_1__1__5_ccff_tail), + .chany_bottom_out(cby_1__1__7_chany_bottom_out[0:9]), + .chany_top_out(cby_1__1__7_chany_top_out[0:9]), + .right_grid_left_width_0_height_0_subtile_0__pin_I_3_(cby_1__1__7_right_grid_left_width_0_height_0_subtile_0__pin_I_3_), + .right_grid_left_width_0_height_0_subtile_0__pin_I_7_(cby_1__1__7_right_grid_left_width_0_height_0_subtile_0__pin_I_7_), + .left_grid_right_width_0_height_0_subtile_0__pin_I_1_(cby_1__1__7_left_grid_right_width_0_height_0_subtile_0__pin_I_1_), + .left_grid_right_width_0_height_0_subtile_0__pin_I_5_(cby_1__1__7_left_grid_right_width_0_height_0_subtile_0__pin_I_5_), + .left_grid_right_width_0_height_0_subtile_0__pin_I_9_(cby_1__1__7_left_grid_right_width_0_height_0_subtile_0__pin_I_9_), + .ccff_tail(cby_1__1__7_ccff_tail)); + + cby_1__1_ cby_3__1_ ( + .prog_clk(prog_clk), + .chany_bottom_in(sb_1__0__2_chany_top_out[0:9]), + .chany_top_in(sb_1__1__6_chany_bottom_out[0:9]), + .ccff_head(cbx_1__0__2_ccff_tail), + .chany_bottom_out(cby_1__1__8_chany_bottom_out[0:9]), + .chany_top_out(cby_1__1__8_chany_top_out[0:9]), + .right_grid_left_width_0_height_0_subtile_0__pin_I_3_(cby_1__1__8_right_grid_left_width_0_height_0_subtile_0__pin_I_3_), + .right_grid_left_width_0_height_0_subtile_0__pin_I_7_(cby_1__1__8_right_grid_left_width_0_height_0_subtile_0__pin_I_7_), + .left_grid_right_width_0_height_0_subtile_0__pin_I_1_(cby_1__1__8_left_grid_right_width_0_height_0_subtile_0__pin_I_1_), + .left_grid_right_width_0_height_0_subtile_0__pin_I_5_(cby_1__1__8_left_grid_right_width_0_height_0_subtile_0__pin_I_5_), + .left_grid_right_width_0_height_0_subtile_0__pin_I_9_(cby_1__1__8_left_grid_right_width_0_height_0_subtile_0__pin_I_9_), + .ccff_tail(cby_1__1__8_ccff_tail)); + + cby_1__1_ cby_3__2_ ( + .prog_clk(prog_clk), + .chany_bottom_in(sb_1__1__6_chany_top_out[0:9]), + .chany_top_in(sb_1__1__7_chany_bottom_out[0:9]), + .ccff_head(cbx_1__1__6_ccff_tail), + .chany_bottom_out(cby_1__1__9_chany_bottom_out[0:9]), + .chany_top_out(cby_1__1__9_chany_top_out[0:9]), + .right_grid_left_width_0_height_0_subtile_0__pin_I_3_(cby_1__1__9_right_grid_left_width_0_height_0_subtile_0__pin_I_3_), + .right_grid_left_width_0_height_0_subtile_0__pin_I_7_(cby_1__1__9_right_grid_left_width_0_height_0_subtile_0__pin_I_7_), + .left_grid_right_width_0_height_0_subtile_0__pin_I_1_(cby_1__1__9_left_grid_right_width_0_height_0_subtile_0__pin_I_1_), + .left_grid_right_width_0_height_0_subtile_0__pin_I_5_(cby_1__1__9_left_grid_right_width_0_height_0_subtile_0__pin_I_5_), + .left_grid_right_width_0_height_0_subtile_0__pin_I_9_(cby_1__1__9_left_grid_right_width_0_height_0_subtile_0__pin_I_9_), + .ccff_tail(cby_1__1__9_ccff_tail)); + + cby_1__1_ cby_3__3_ ( + .prog_clk(prog_clk), + .chany_bottom_in(sb_1__1__7_chany_top_out[0:9]), + .chany_top_in(sb_1__1__8_chany_bottom_out[0:9]), + .ccff_head(cbx_1__1__7_ccff_tail), + .chany_bottom_out(cby_1__1__10_chany_bottom_out[0:9]), + .chany_top_out(cby_1__1__10_chany_top_out[0:9]), + .right_grid_left_width_0_height_0_subtile_0__pin_I_3_(cby_1__1__10_right_grid_left_width_0_height_0_subtile_0__pin_I_3_), + .right_grid_left_width_0_height_0_subtile_0__pin_I_7_(cby_1__1__10_right_grid_left_width_0_height_0_subtile_0__pin_I_7_), + .left_grid_right_width_0_height_0_subtile_0__pin_I_1_(cby_1__1__10_left_grid_right_width_0_height_0_subtile_0__pin_I_1_), + .left_grid_right_width_0_height_0_subtile_0__pin_I_5_(cby_1__1__10_left_grid_right_width_0_height_0_subtile_0__pin_I_5_), + .left_grid_right_width_0_height_0_subtile_0__pin_I_9_(cby_1__1__10_left_grid_right_width_0_height_0_subtile_0__pin_I_9_), + .ccff_tail(cby_1__1__10_ccff_tail)); + + cby_1__1_ cby_3__4_ ( + .prog_clk(prog_clk), + .chany_bottom_in(sb_1__1__8_chany_top_out[0:9]), + .chany_top_in(sb_1__4__2_chany_bottom_out[0:9]), + .ccff_head(cbx_1__1__8_ccff_tail), + .chany_bottom_out(cby_1__1__11_chany_bottom_out[0:9]), + .chany_top_out(cby_1__1__11_chany_top_out[0:9]), + .right_grid_left_width_0_height_0_subtile_0__pin_I_3_(cby_1__1__11_right_grid_left_width_0_height_0_subtile_0__pin_I_3_), + .right_grid_left_width_0_height_0_subtile_0__pin_I_7_(cby_1__1__11_right_grid_left_width_0_height_0_subtile_0__pin_I_7_), + .left_grid_right_width_0_height_0_subtile_0__pin_I_1_(cby_1__1__11_left_grid_right_width_0_height_0_subtile_0__pin_I_1_), + .left_grid_right_width_0_height_0_subtile_0__pin_I_5_(cby_1__1__11_left_grid_right_width_0_height_0_subtile_0__pin_I_5_), + .left_grid_right_width_0_height_0_subtile_0__pin_I_9_(cby_1__1__11_left_grid_right_width_0_height_0_subtile_0__pin_I_9_), + .ccff_tail(cby_1__1__11_ccff_tail)); + + cby_4__1_ cby_4__1_ ( + .prog_clk(prog_clk), + .chany_bottom_in(sb_4__0__0_chany_top_out[0:9]), + .chany_top_in(sb_4__1__0_chany_bottom_out[0:9]), + .ccff_head(cbx_1__0__3_ccff_tail), + .chany_bottom_out(cby_4__1__0_chany_bottom_out[0:9]), + .chany_top_out(cby_4__1__0_chany_top_out[0:9]), + .right_grid_left_width_0_height_0_subtile_0__pin_outpad_0_(cby_4__1__0_right_grid_left_width_0_height_0_subtile_0__pin_outpad_0_), + .right_grid_left_width_0_height_0_subtile_1__pin_outpad_0_(cby_4__1__0_right_grid_left_width_0_height_0_subtile_1__pin_outpad_0_), + .right_grid_left_width_0_height_0_subtile_2__pin_outpad_0_(cby_4__1__0_right_grid_left_width_0_height_0_subtile_2__pin_outpad_0_), + .right_grid_left_width_0_height_0_subtile_3__pin_outpad_0_(cby_4__1__0_right_grid_left_width_0_height_0_subtile_3__pin_outpad_0_), + .right_grid_left_width_0_height_0_subtile_4__pin_outpad_0_(cby_4__1__0_right_grid_left_width_0_height_0_subtile_4__pin_outpad_0_), + .right_grid_left_width_0_height_0_subtile_5__pin_outpad_0_(cby_4__1__0_right_grid_left_width_0_height_0_subtile_5__pin_outpad_0_), + .right_grid_left_width_0_height_0_subtile_6__pin_outpad_0_(cby_4__1__0_right_grid_left_width_0_height_0_subtile_6__pin_outpad_0_), + .right_grid_left_width_0_height_0_subtile_7__pin_outpad_0_(cby_4__1__0_right_grid_left_width_0_height_0_subtile_7__pin_outpad_0_), + .left_grid_right_width_0_height_0_subtile_0__pin_I_1_(cby_4__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I_1_), + .left_grid_right_width_0_height_0_subtile_0__pin_I_5_(cby_4__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I_5_), + .left_grid_right_width_0_height_0_subtile_0__pin_I_9_(cby_4__1__0_left_grid_right_width_0_height_0_subtile_0__pin_I_9_), + .ccff_tail(cby_4__1__0_ccff_tail)); + + cby_4__1_ cby_4__2_ ( + .prog_clk(prog_clk), + .chany_bottom_in(sb_4__1__0_chany_top_out[0:9]), + .chany_top_in(sb_4__1__1_chany_bottom_out[0:9]), + .ccff_head(cbx_1__1__9_ccff_tail), + .chany_bottom_out(cby_4__1__1_chany_bottom_out[0:9]), + .chany_top_out(cby_4__1__1_chany_top_out[0:9]), + .right_grid_left_width_0_height_0_subtile_0__pin_outpad_0_(cby_4__1__1_right_grid_left_width_0_height_0_subtile_0__pin_outpad_0_), + .right_grid_left_width_0_height_0_subtile_1__pin_outpad_0_(cby_4__1__1_right_grid_left_width_0_height_0_subtile_1__pin_outpad_0_), + .right_grid_left_width_0_height_0_subtile_2__pin_outpad_0_(cby_4__1__1_right_grid_left_width_0_height_0_subtile_2__pin_outpad_0_), + .right_grid_left_width_0_height_0_subtile_3__pin_outpad_0_(cby_4__1__1_right_grid_left_width_0_height_0_subtile_3__pin_outpad_0_), + .right_grid_left_width_0_height_0_subtile_4__pin_outpad_0_(cby_4__1__1_right_grid_left_width_0_height_0_subtile_4__pin_outpad_0_), + .right_grid_left_width_0_height_0_subtile_5__pin_outpad_0_(cby_4__1__1_right_grid_left_width_0_height_0_subtile_5__pin_outpad_0_), + .right_grid_left_width_0_height_0_subtile_6__pin_outpad_0_(cby_4__1__1_right_grid_left_width_0_height_0_subtile_6__pin_outpad_0_), + .right_grid_left_width_0_height_0_subtile_7__pin_outpad_0_(cby_4__1__1_right_grid_left_width_0_height_0_subtile_7__pin_outpad_0_), + .left_grid_right_width_0_height_0_subtile_0__pin_I_1_(cby_4__1__1_left_grid_right_width_0_height_0_subtile_0__pin_I_1_), + .left_grid_right_width_0_height_0_subtile_0__pin_I_5_(cby_4__1__1_left_grid_right_width_0_height_0_subtile_0__pin_I_5_), + .left_grid_right_width_0_height_0_subtile_0__pin_I_9_(cby_4__1__1_left_grid_right_width_0_height_0_subtile_0__pin_I_9_), + .ccff_tail(cby_4__1__1_ccff_tail)); + + cby_4__1_ cby_4__3_ ( + .prog_clk(prog_clk), + .chany_bottom_in(sb_4__1__1_chany_top_out[0:9]), + .chany_top_in(sb_4__1__2_chany_bottom_out[0:9]), + .ccff_head(cbx_1__1__10_ccff_tail), + .chany_bottom_out(cby_4__1__2_chany_bottom_out[0:9]), + .chany_top_out(cby_4__1__2_chany_top_out[0:9]), + .right_grid_left_width_0_height_0_subtile_0__pin_outpad_0_(cby_4__1__2_right_grid_left_width_0_height_0_subtile_0__pin_outpad_0_), + .right_grid_left_width_0_height_0_subtile_1__pin_outpad_0_(cby_4__1__2_right_grid_left_width_0_height_0_subtile_1__pin_outpad_0_), + .right_grid_left_width_0_height_0_subtile_2__pin_outpad_0_(cby_4__1__2_right_grid_left_width_0_height_0_subtile_2__pin_outpad_0_), + .right_grid_left_width_0_height_0_subtile_3__pin_outpad_0_(cby_4__1__2_right_grid_left_width_0_height_0_subtile_3__pin_outpad_0_), + .right_grid_left_width_0_height_0_subtile_4__pin_outpad_0_(cby_4__1__2_right_grid_left_width_0_height_0_subtile_4__pin_outpad_0_), + .right_grid_left_width_0_height_0_subtile_5__pin_outpad_0_(cby_4__1__2_right_grid_left_width_0_height_0_subtile_5__pin_outpad_0_), + .right_grid_left_width_0_height_0_subtile_6__pin_outpad_0_(cby_4__1__2_right_grid_left_width_0_height_0_subtile_6__pin_outpad_0_), + .right_grid_left_width_0_height_0_subtile_7__pin_outpad_0_(cby_4__1__2_right_grid_left_width_0_height_0_subtile_7__pin_outpad_0_), + .left_grid_right_width_0_height_0_subtile_0__pin_I_1_(cby_4__1__2_left_grid_right_width_0_height_0_subtile_0__pin_I_1_), + .left_grid_right_width_0_height_0_subtile_0__pin_I_5_(cby_4__1__2_left_grid_right_width_0_height_0_subtile_0__pin_I_5_), + .left_grid_right_width_0_height_0_subtile_0__pin_I_9_(cby_4__1__2_left_grid_right_width_0_height_0_subtile_0__pin_I_9_), + .ccff_tail(cby_4__1__2_ccff_tail)); + + cby_4__1_ cby_4__4_ ( + .prog_clk(prog_clk), + .chany_bottom_in(sb_4__1__2_chany_top_out[0:9]), + .chany_top_in(sb_4__4__0_chany_bottom_out[0:9]), + .ccff_head(cbx_1__1__11_ccff_tail), + .chany_bottom_out(cby_4__1__3_chany_bottom_out[0:9]), + .chany_top_out(cby_4__1__3_chany_top_out[0:9]), + .right_grid_left_width_0_height_0_subtile_0__pin_outpad_0_(cby_4__1__3_right_grid_left_width_0_height_0_subtile_0__pin_outpad_0_), + .right_grid_left_width_0_height_0_subtile_1__pin_outpad_0_(cby_4__1__3_right_grid_left_width_0_height_0_subtile_1__pin_outpad_0_), + .right_grid_left_width_0_height_0_subtile_2__pin_outpad_0_(cby_4__1__3_right_grid_left_width_0_height_0_subtile_2__pin_outpad_0_), + .right_grid_left_width_0_height_0_subtile_3__pin_outpad_0_(cby_4__1__3_right_grid_left_width_0_height_0_subtile_3__pin_outpad_0_), + .right_grid_left_width_0_height_0_subtile_4__pin_outpad_0_(cby_4__1__3_right_grid_left_width_0_height_0_subtile_4__pin_outpad_0_), + .right_grid_left_width_0_height_0_subtile_5__pin_outpad_0_(cby_4__1__3_right_grid_left_width_0_height_0_subtile_5__pin_outpad_0_), + .right_grid_left_width_0_height_0_subtile_6__pin_outpad_0_(cby_4__1__3_right_grid_left_width_0_height_0_subtile_6__pin_outpad_0_), + .right_grid_left_width_0_height_0_subtile_7__pin_outpad_0_(cby_4__1__3_right_grid_left_width_0_height_0_subtile_7__pin_outpad_0_), + .left_grid_right_width_0_height_0_subtile_0__pin_I_1_(cby_4__1__3_left_grid_right_width_0_height_0_subtile_0__pin_I_1_), + .left_grid_right_width_0_height_0_subtile_0__pin_I_5_(cby_4__1__3_left_grid_right_width_0_height_0_subtile_0__pin_I_5_), + .left_grid_right_width_0_height_0_subtile_0__pin_I_9_(cby_4__1__3_left_grid_right_width_0_height_0_subtile_0__pin_I_9_), + .ccff_tail(cby_4__1__3_ccff_tail)); + +endmodule +// ----- END Verilog module for fpga_top ----- + +//----- Default net type ----- +`default_nettype none + + + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/global_ports.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/global_ports.sdc new file mode 100644 index 000000000..9533a8387 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/global_ports.sdc @@ -0,0 +1,21 @@ +############################################# +# Synopsys Design Constraints (SDC) +# For FPGA fabric +# Description: Clock contraints for PnR +# Author: Xifan TANG +# Organization: University of Utah +############################################# + +############################################# +# Define time unit +############################################# +set_units -time s + +################################################## +# Create clock +################################################## +create_clock -name clk[0] -period 9.07571962e-10 -waveform {0 4.53785981e-10} [get_ports {clk[0]}] +################################################## +# Create programmable clock +################################################## +create_clock -name prog_clk[0] -period 9.999999939e-09 -waveform {0 4.99999997e-09} [get_ports {prog_clk[0]}] diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_0__0_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_0__0_.xml new file mode 100644 index 000000000..88ecd4adb --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_0__0_.xml @@ -0,0 +1,2 @@ +<rr_cb x="0" y="0" num_sides="4"> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_0__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_0__1_.xml new file mode 100644 index 000000000..e65930df0 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_0__1_.xml @@ -0,0 +1,2 @@ +<rr_cb x="0" y="1" num_sides="4"> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_0__2_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_0__2_.xml new file mode 100644 index 000000000..f0437dd4b --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_0__2_.xml @@ -0,0 +1,2 @@ +<rr_cb x="0" y="2" num_sides="4"> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_0__3_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_0__3_.xml new file mode 100644 index 000000000..8ef6fb83d --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_0__3_.xml @@ -0,0 +1,2 @@ +<rr_cb x="0" y="3" num_sides="4"> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_0__4_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_0__4_.xml new file mode 100644 index 000000000..a5cc9e63d --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_0__4_.xml @@ -0,0 +1,2 @@ +<rr_cb x="0" y="4" num_sides="4"> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_1__0_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_1__0_.xml new file mode 100644 index 000000000..c8220b71e --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_1__0_.xml @@ -0,0 +1,66 @@ +<rr_cb x="1" y="0" num_sides="4"> + <IPIN side="top" index="0" node_id="176" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="848" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="849" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="858" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="859" index="11" segment_id="0"/> + </IPIN> + <IPIN side="top" index="1" node_id="177" mux_size="2"> + <driver_node type="CHANX" side="left" node_id="850" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="851" index="3" segment_id="0"/> + </IPIN> + <IPIN side="top" index="2" node_id="178" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="852" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="853" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="862" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="863" index="15" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="0" node_id="24" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="854" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="855" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="864" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="865" index="17" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="1" node_id="25" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="856" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="857" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="866" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="867" index="19" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="2" node_id="26" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="848" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="849" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="858" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="859" index="11" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="3" node_id="27" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="850" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="851" index="3" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="860" index="12" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="861" index="13" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="4" node_id="28" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="852" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="853" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="862" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="863" index="15" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="5" node_id="29" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="854" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="855" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="864" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="865" index="17" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="6" node_id="30" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="856" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="857" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="866" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="867" index="19" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="7" node_id="31" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="848" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="849" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="858" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="859" index="11" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_1__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_1__1_.xml new file mode 100644 index 000000000..251a61629 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_1__1_.xml @@ -0,0 +1,34 @@ +<rr_cb x="1" y="1" num_sides="4"> + <IPIN side="top" index="0" node_id="324" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="886" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="887" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="896" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="897" index="11" segment_id="0"/> + </IPIN> + <IPIN side="top" index="1" node_id="325" mux_size="2"> + <driver_node type="CHANX" side="left" node_id="888" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="889" index="3" segment_id="0"/> + </IPIN> + <IPIN side="top" index="2" node_id="326" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="890" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="891" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="900" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="901" index="15" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="0" node_id="170" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="892" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="893" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="902" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="903" index="17" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="1" node_id="171" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="894" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="895" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="904" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="905" index="19" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="2" node_id="172" mux_size="2"> + <driver_node type="CHANX" side="left" node_id="896" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="897" index="11" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_1__2_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_1__2_.xml new file mode 100644 index 000000000..69f022482 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_1__2_.xml @@ -0,0 +1,34 @@ +<rr_cb x="1" y="2" num_sides="4"> + <IPIN side="top" index="0" node_id="472" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="924" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="925" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="934" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="935" index="11" segment_id="0"/> + </IPIN> + <IPIN side="top" index="1" node_id="473" mux_size="2"> + <driver_node type="CHANX" side="left" node_id="926" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="927" index="3" segment_id="0"/> + </IPIN> + <IPIN side="top" index="2" node_id="474" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="928" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="929" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="938" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="939" index="15" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="0" node_id="318" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="930" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="931" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="940" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="941" index="17" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="1" node_id="319" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="932" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="933" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="942" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="943" index="19" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="2" node_id="320" mux_size="2"> + <driver_node type="CHANX" side="left" node_id="934" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="935" index="11" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_1__3_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_1__3_.xml new file mode 100644 index 000000000..3ad1a739f --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_1__3_.xml @@ -0,0 +1,34 @@ +<rr_cb x="1" y="3" num_sides="4"> + <IPIN side="top" index="0" node_id="620" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="962" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="963" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="972" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="973" index="11" segment_id="0"/> + </IPIN> + <IPIN side="top" index="1" node_id="621" mux_size="2"> + <driver_node type="CHANX" side="left" node_id="964" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="965" index="3" segment_id="0"/> + </IPIN> + <IPIN side="top" index="2" node_id="622" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="966" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="967" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="976" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="977" index="15" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="0" node_id="466" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="968" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="969" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="978" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="979" index="17" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="1" node_id="467" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="970" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="971" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="980" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="981" index="19" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="2" node_id="468" mux_size="2"> + <driver_node type="CHANX" side="left" node_id="972" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="973" index="11" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_1__4_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_1__4_.xml new file mode 100644 index 000000000..163a89e9d --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_1__4_.xml @@ -0,0 +1,66 @@ +<rr_cb x="1" y="4" num_sides="4"> + <IPIN side="top" index="0" node_id="744" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="1000" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1001" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1010" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1011" index="11" segment_id="0"/> + </IPIN> + <IPIN side="top" index="1" node_id="745" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="1002" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1003" index="3" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1012" index="12" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1013" index="13" segment_id="0"/> + </IPIN> + <IPIN side="top" index="2" node_id="746" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="1004" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1005" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1014" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1015" index="15" segment_id="0"/> + </IPIN> + <IPIN side="top" index="3" node_id="747" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="1006" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1007" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1016" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1017" index="17" segment_id="0"/> + </IPIN> + <IPIN side="top" index="4" node_id="748" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="1008" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1009" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1018" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1019" index="19" segment_id="0"/> + </IPIN> + <IPIN side="top" index="5" node_id="749" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="1000" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1001" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1010" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1011" index="11" segment_id="0"/> + </IPIN> + <IPIN side="top" index="6" node_id="750" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="1002" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1003" index="3" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1012" index="12" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1013" index="13" segment_id="0"/> + </IPIN> + <IPIN side="top" index="7" node_id="751" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="1004" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1005" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1014" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1015" index="15" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="0" node_id="614" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="1006" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1007" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1016" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1017" index="17" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="1" node_id="615" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="1008" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1009" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1018" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1019" index="19" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="2" node_id="616" mux_size="2"> + <driver_node type="CHANX" side="left" node_id="1000" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1001" index="1" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_2__0_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_2__0_.xml new file mode 100644 index 000000000..a5787d1bd --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_2__0_.xml @@ -0,0 +1,66 @@ +<rr_cb x="2" y="0" num_sides="4"> + <IPIN side="top" index="0" node_id="197" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="868" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="851" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="856" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="861" index="11" segment_id="0"/> + </IPIN> + <IPIN side="top" index="1" node_id="198" mux_size="2"> + <driver_node type="CHANX" side="left" node_id="848" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="853" index="3" segment_id="0"/> + </IPIN> + <IPIN side="top" index="2" node_id="199" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="850" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="855" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="860" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="871" index="15" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="0" node_id="56" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="852" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="869" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="872" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="867" index="17" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="1" node_id="57" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="870" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="859" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="864" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="873" index="19" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="2" node_id="58" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="868" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="851" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="856" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="861" index="11" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="3" node_id="59" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="848" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="853" index="3" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="858" index="12" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="863" index="13" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="4" node_id="60" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="850" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="855" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="860" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="871" index="15" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="5" node_id="61" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="852" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="869" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="872" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="867" index="17" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="6" node_id="62" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="870" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="859" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="864" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="873" index="19" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="7" node_id="63" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="868" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="851" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="856" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="861" index="11" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_2__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_2__1_.xml new file mode 100644 index 000000000..19d61b630 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_2__1_.xml @@ -0,0 +1,34 @@ +<rr_cb x="2" y="1" num_sides="4"> + <IPIN side="top" index="0" node_id="345" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="906" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="889" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="894" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="899" index="11" segment_id="0"/> + </IPIN> + <IPIN side="top" index="1" node_id="346" mux_size="2"> + <driver_node type="CHANX" side="left" node_id="886" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="891" index="3" segment_id="0"/> + </IPIN> + <IPIN side="top" index="2" node_id="347" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="888" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="893" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="898" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="909" index="15" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="0" node_id="191" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="890" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="907" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="910" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="905" index="17" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="1" node_id="192" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="908" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="897" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="902" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="911" index="19" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="2" node_id="193" mux_size="2"> + <driver_node type="CHANX" side="left" node_id="894" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="899" index="11" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_2__2_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_2__2_.xml new file mode 100644 index 000000000..8c2403b54 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_2__2_.xml @@ -0,0 +1,34 @@ +<rr_cb x="2" y="2" num_sides="4"> + <IPIN side="top" index="0" node_id="493" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="944" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="927" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="932" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="937" index="11" segment_id="0"/> + </IPIN> + <IPIN side="top" index="1" node_id="494" mux_size="2"> + <driver_node type="CHANX" side="left" node_id="924" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="929" index="3" segment_id="0"/> + </IPIN> + <IPIN side="top" index="2" node_id="495" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="926" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="931" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="936" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="947" index="15" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="0" node_id="339" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="928" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="945" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="948" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="943" index="17" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="1" node_id="340" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="946" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="935" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="940" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="949" index="19" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="2" node_id="341" mux_size="2"> + <driver_node type="CHANX" side="left" node_id="932" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="937" index="11" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_2__3_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_2__3_.xml new file mode 100644 index 000000000..53003d0ec --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_2__3_.xml @@ -0,0 +1,34 @@ +<rr_cb x="2" y="3" num_sides="4"> + <IPIN side="top" index="0" node_id="641" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="982" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="965" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="970" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="975" index="11" segment_id="0"/> + </IPIN> + <IPIN side="top" index="1" node_id="642" mux_size="2"> + <driver_node type="CHANX" side="left" node_id="962" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="967" index="3" segment_id="0"/> + </IPIN> + <IPIN side="top" index="2" node_id="643" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="964" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="969" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="974" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="985" index="15" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="0" node_id="487" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="966" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="983" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="986" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="981" index="17" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="1" node_id="488" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="984" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="973" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="978" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="987" index="19" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="2" node_id="489" mux_size="2"> + <driver_node type="CHANX" side="left" node_id="970" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="975" index="11" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_2__4_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_2__4_.xml new file mode 100644 index 000000000..70304f1a8 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_2__4_.xml @@ -0,0 +1,66 @@ +<rr_cb x="2" y="4" num_sides="4"> + <IPIN side="top" index="0" node_id="776" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="1020" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1003" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1008" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1013" index="11" segment_id="0"/> + </IPIN> + <IPIN side="top" index="1" node_id="777" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="1000" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1005" index="3" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1010" index="12" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1015" index="13" segment_id="0"/> + </IPIN> + <IPIN side="top" index="2" node_id="778" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="1002" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1007" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1012" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1023" index="15" segment_id="0"/> + </IPIN> + <IPIN side="top" index="3" node_id="779" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="1004" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1021" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1024" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1019" index="17" segment_id="0"/> + </IPIN> + <IPIN side="top" index="4" node_id="780" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="1022" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1011" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1016" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1025" index="19" segment_id="0"/> + </IPIN> + <IPIN side="top" index="5" node_id="781" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="1020" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1003" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1008" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1013" index="11" segment_id="0"/> + </IPIN> + <IPIN side="top" index="6" node_id="782" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="1000" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1005" index="3" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1010" index="12" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1015" index="13" segment_id="0"/> + </IPIN> + <IPIN side="top" index="7" node_id="783" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="1002" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1007" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1012" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1023" index="15" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="0" node_id="635" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="1004" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1021" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1024" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1019" index="17" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="1" node_id="636" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="1022" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1011" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1016" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1025" index="19" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="2" node_id="637" mux_size="2"> + <driver_node type="CHANX" side="left" node_id="1020" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1003" index="1" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_3__0_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_3__0_.xml new file mode 100644 index 000000000..7c73171a7 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_3__0_.xml @@ -0,0 +1,66 @@ +<rr_cb x="3" y="0" num_sides="4"> + <IPIN side="top" index="0" node_id="218" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="874" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="853" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="870" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="863" index="11" segment_id="0"/> + </IPIN> + <IPIN side="top" index="1" node_id="219" mux_size="2"> + <driver_node type="CHANX" side="left" node_id="868" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="855" index="3" segment_id="0"/> + </IPIN> + <IPIN side="top" index="2" node_id="220" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="848" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="869" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="858" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="877" index="15" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="0" node_id="88" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="850" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="875" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="878" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="873" index="17" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="1" node_id="89" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="876" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="861" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="872" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="879" index="19" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="2" node_id="90" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="874" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="853" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="870" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="863" index="11" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="3" node_id="91" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="868" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="855" index="3" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="856" index="12" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="871" index="13" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="4" node_id="92" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="848" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="869" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="858" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="877" index="15" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="5" node_id="93" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="850" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="875" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="878" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="873" index="17" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="6" node_id="94" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="876" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="861" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="872" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="879" index="19" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="7" node_id="95" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="874" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="853" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="870" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="863" index="11" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_3__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_3__1_.xml new file mode 100644 index 000000000..0d57bfdb0 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_3__1_.xml @@ -0,0 +1,34 @@ +<rr_cb x="3" y="1" num_sides="4"> + <IPIN side="top" index="0" node_id="366" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="912" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="891" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="908" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="901" index="11" segment_id="0"/> + </IPIN> + <IPIN side="top" index="1" node_id="367" mux_size="2"> + <driver_node type="CHANX" side="left" node_id="906" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="893" index="3" segment_id="0"/> + </IPIN> + <IPIN side="top" index="2" node_id="368" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="886" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="907" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="896" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="915" index="15" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="0" node_id="212" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="888" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="913" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="916" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="911" index="17" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="1" node_id="213" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="914" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="899" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="910" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="917" index="19" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="2" node_id="214" mux_size="2"> + <driver_node type="CHANX" side="left" node_id="908" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="901" index="11" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_3__2_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_3__2_.xml new file mode 100644 index 000000000..67ba79612 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_3__2_.xml @@ -0,0 +1,34 @@ +<rr_cb x="3" y="2" num_sides="4"> + <IPIN side="top" index="0" node_id="514" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="950" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="929" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="946" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="939" index="11" segment_id="0"/> + </IPIN> + <IPIN side="top" index="1" node_id="515" mux_size="2"> + <driver_node type="CHANX" side="left" node_id="944" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="931" index="3" segment_id="0"/> + </IPIN> + <IPIN side="top" index="2" node_id="516" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="924" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="945" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="934" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="953" index="15" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="0" node_id="360" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="926" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="951" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="954" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="949" index="17" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="1" node_id="361" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="952" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="937" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="948" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="955" index="19" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="2" node_id="362" mux_size="2"> + <driver_node type="CHANX" side="left" node_id="946" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="939" index="11" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_3__3_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_3__3_.xml new file mode 100644 index 000000000..bf0a12f2b --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_3__3_.xml @@ -0,0 +1,34 @@ +<rr_cb x="3" y="3" num_sides="4"> + <IPIN side="top" index="0" node_id="662" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="988" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="967" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="984" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="977" index="11" segment_id="0"/> + </IPIN> + <IPIN side="top" index="1" node_id="663" mux_size="2"> + <driver_node type="CHANX" side="left" node_id="982" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="969" index="3" segment_id="0"/> + </IPIN> + <IPIN side="top" index="2" node_id="664" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="962" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="983" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="972" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="991" index="15" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="0" node_id="508" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="964" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="989" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="992" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="987" index="17" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="1" node_id="509" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="990" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="975" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="986" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="993" index="19" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="2" node_id="510" mux_size="2"> + <driver_node type="CHANX" side="left" node_id="984" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="977" index="11" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_3__4_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_3__4_.xml new file mode 100644 index 000000000..c62a0fa55 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_3__4_.xml @@ -0,0 +1,66 @@ +<rr_cb x="3" y="4" num_sides="4"> + <IPIN side="top" index="0" node_id="808" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="1026" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1005" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1022" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1015" index="11" segment_id="0"/> + </IPIN> + <IPIN side="top" index="1" node_id="809" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="1020" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1007" index="3" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1008" index="12" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1023" index="13" segment_id="0"/> + </IPIN> + <IPIN side="top" index="2" node_id="810" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="1000" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1021" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1010" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1029" index="15" segment_id="0"/> + </IPIN> + <IPIN side="top" index="3" node_id="811" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="1002" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1027" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1030" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1025" index="17" segment_id="0"/> + </IPIN> + <IPIN side="top" index="4" node_id="812" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="1028" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1013" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1024" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1031" index="19" segment_id="0"/> + </IPIN> + <IPIN side="top" index="5" node_id="813" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="1026" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1005" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1022" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1015" index="11" segment_id="0"/> + </IPIN> + <IPIN side="top" index="6" node_id="814" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="1020" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1007" index="3" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1008" index="12" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1023" index="13" segment_id="0"/> + </IPIN> + <IPIN side="top" index="7" node_id="815" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="1000" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1021" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1010" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1029" index="15" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="0" node_id="656" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="1002" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1027" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1030" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1025" index="17" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="1" node_id="657" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="1028" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1013" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1024" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1031" index="19" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="2" node_id="658" mux_size="2"> + <driver_node type="CHANX" side="left" node_id="1026" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1005" index="1" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_4__0_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_4__0_.xml new file mode 100644 index 000000000..05805ce35 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_4__0_.xml @@ -0,0 +1,66 @@ +<rr_cb x="4" y="0" num_sides="4"> + <IPIN side="top" index="0" node_id="239" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="880" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="855" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="876" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="871" index="11" segment_id="0"/> + </IPIN> + <IPIN side="top" index="1" node_id="240" mux_size="2"> + <driver_node type="CHANX" side="left" node_id="874" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="869" index="3" segment_id="0"/> + </IPIN> + <IPIN side="top" index="2" node_id="241" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="868" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="875" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="856" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="883" index="15" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="0" node_id="120" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="848" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="881" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="884" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="879" index="17" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="1" node_id="121" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="882" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="863" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="878" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="885" index="19" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="2" node_id="122" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="880" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="855" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="876" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="871" index="11" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="3" node_id="123" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="874" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="869" index="3" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="870" index="12" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="877" index="13" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="4" node_id="124" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="868" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="875" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="856" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="883" index="15" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="5" node_id="125" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="848" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="881" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="884" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="879" index="17" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="6" node_id="126" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="882" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="863" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="878" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="885" index="19" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="7" node_id="127" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="880" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="855" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="876" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="871" index="11" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_4__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_4__1_.xml new file mode 100644 index 000000000..6fd81462a --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_4__1_.xml @@ -0,0 +1,34 @@ +<rr_cb x="4" y="1" num_sides="4"> + <IPIN side="top" index="0" node_id="387" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="918" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="893" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="914" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="909" index="11" segment_id="0"/> + </IPIN> + <IPIN side="top" index="1" node_id="388" mux_size="2"> + <driver_node type="CHANX" side="left" node_id="912" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="907" index="3" segment_id="0"/> + </IPIN> + <IPIN side="top" index="2" node_id="389" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="906" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="913" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="894" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="921" index="15" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="0" node_id="233" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="886" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="919" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="922" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="917" index="17" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="1" node_id="234" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="920" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="901" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="916" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="923" index="19" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="2" node_id="235" mux_size="2"> + <driver_node type="CHANX" side="left" node_id="914" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="909" index="11" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_4__2_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_4__2_.xml new file mode 100644 index 000000000..7b5dcbdd3 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_4__2_.xml @@ -0,0 +1,34 @@ +<rr_cb x="4" y="2" num_sides="4"> + <IPIN side="top" index="0" node_id="535" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="956" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="931" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="952" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="947" index="11" segment_id="0"/> + </IPIN> + <IPIN side="top" index="1" node_id="536" mux_size="2"> + <driver_node type="CHANX" side="left" node_id="950" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="945" index="3" segment_id="0"/> + </IPIN> + <IPIN side="top" index="2" node_id="537" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="944" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="951" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="932" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="959" index="15" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="0" node_id="381" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="924" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="957" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="960" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="955" index="17" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="1" node_id="382" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="958" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="939" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="954" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="961" index="19" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="2" node_id="383" mux_size="2"> + <driver_node type="CHANX" side="left" node_id="952" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="947" index="11" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_4__3_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_4__3_.xml new file mode 100644 index 000000000..5c25453ea --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_4__3_.xml @@ -0,0 +1,34 @@ +<rr_cb x="4" y="3" num_sides="4"> + <IPIN side="top" index="0" node_id="683" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="994" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="969" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="990" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="985" index="11" segment_id="0"/> + </IPIN> + <IPIN side="top" index="1" node_id="684" mux_size="2"> + <driver_node type="CHANX" side="left" node_id="988" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="983" index="3" segment_id="0"/> + </IPIN> + <IPIN side="top" index="2" node_id="685" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="982" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="989" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="970" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="997" index="15" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="0" node_id="529" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="962" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="995" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="998" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="993" index="17" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="1" node_id="530" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="996" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="977" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="992" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="999" index="19" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="2" node_id="531" mux_size="2"> + <driver_node type="CHANX" side="left" node_id="990" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="985" index="11" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_4__4_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_4__4_.xml new file mode 100644 index 000000000..c4986eee3 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cbx_4__4_.xml @@ -0,0 +1,66 @@ +<rr_cb x="4" y="4" num_sides="4"> + <IPIN side="top" index="0" node_id="840" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="1032" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1007" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1028" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1023" index="11" segment_id="0"/> + </IPIN> + <IPIN side="top" index="1" node_id="841" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="1026" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1021" index="3" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1022" index="12" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1029" index="13" segment_id="0"/> + </IPIN> + <IPIN side="top" index="2" node_id="842" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="1020" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1027" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1008" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1035" index="15" segment_id="0"/> + </IPIN> + <IPIN side="top" index="3" node_id="843" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="1000" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1033" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1036" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1031" index="17" segment_id="0"/> + </IPIN> + <IPIN side="top" index="4" node_id="844" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="1034" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1015" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1030" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1037" index="19" segment_id="0"/> + </IPIN> + <IPIN side="top" index="5" node_id="845" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="1032" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1007" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1028" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1023" index="11" segment_id="0"/> + </IPIN> + <IPIN side="top" index="6" node_id="846" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="1026" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1021" index="3" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1022" index="12" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1029" index="13" segment_id="0"/> + </IPIN> + <IPIN side="top" index="7" node_id="847" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="1020" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1027" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1008" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1035" index="15" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="0" node_id="677" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="1000" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1033" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1036" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1031" index="17" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="1" node_id="678" mux_size="4"> + <driver_node type="CHANX" side="left" node_id="1034" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1015" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1030" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1037" index="19" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="2" node_id="679" mux_size="2"> + <driver_node type="CHANX" side="left" node_id="1032" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" node_id="1007" index="1" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_0__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_0__1_.xml new file mode 100644 index 000000000..a9421575e --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_0__1_.xml @@ -0,0 +1,60 @@ +<rr_cb x="0" y="1" num_sides="4"> + <IPIN side="right" index="0" node_id="179" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1038" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1039" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1048" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1049" index="11" segment_id="0"/> + </IPIN> + <IPIN side="right" index="1" node_id="180" mux_size="2"> + <driver_node type="CHANY" side="top" node_id="1040" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1041" index="3" segment_id="0"/> + </IPIN> + <IPIN side="left" index="0" node_id="152" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1042" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1043" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1052" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1053" index="15" segment_id="0"/> + </IPIN> + <IPIN side="left" index="1" node_id="153" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1044" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1045" index="7" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1054" index="16" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1055" index="17" segment_id="0"/> + </IPIN> + <IPIN side="left" index="2" node_id="154" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1046" index="8" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1047" index="9" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1056" index="18" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1057" index="19" segment_id="0"/> + </IPIN> + <IPIN side="left" index="3" node_id="155" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1038" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1039" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1048" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1049" index="11" segment_id="0"/> + </IPIN> + <IPIN side="left" index="4" node_id="156" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1040" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1041" index="3" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1050" index="12" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1051" index="13" segment_id="0"/> + </IPIN> + <IPIN side="left" index="5" node_id="157" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1042" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1043" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1052" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1053" index="15" segment_id="0"/> + </IPIN> + <IPIN side="left" index="6" node_id="158" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1044" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1045" index="7" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1054" index="16" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1055" index="17" segment_id="0"/> + </IPIN> + <IPIN side="left" index="7" node_id="159" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1046" index="8" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1047" index="9" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1056" index="18" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1057" index="19" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_0__2_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_0__2_.xml new file mode 100644 index 000000000..2b935694f --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_0__2_.xml @@ -0,0 +1,60 @@ +<rr_cb x="0" y="2" num_sides="4"> + <IPIN side="right" index="0" node_id="327" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1058" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1041" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1046" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1051" index="11" segment_id="0"/> + </IPIN> + <IPIN side="right" index="1" node_id="328" mux_size="2"> + <driver_node type="CHANY" side="top" node_id="1038" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1043" index="3" segment_id="0"/> + </IPIN> + <IPIN side="left" index="0" node_id="300" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1040" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1045" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1050" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1061" index="15" segment_id="0"/> + </IPIN> + <IPIN side="left" index="1" node_id="301" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1042" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1059" index="7" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1062" index="16" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1057" index="17" segment_id="0"/> + </IPIN> + <IPIN side="left" index="2" node_id="302" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1060" index="8" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1049" index="9" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1054" index="18" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1063" index="19" segment_id="0"/> + </IPIN> + <IPIN side="left" index="3" node_id="303" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1058" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1041" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1046" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1051" index="11" segment_id="0"/> + </IPIN> + <IPIN side="left" index="4" node_id="304" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1038" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1043" index="3" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1048" index="12" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1053" index="13" segment_id="0"/> + </IPIN> + <IPIN side="left" index="5" node_id="305" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1040" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1045" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1050" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1061" index="15" segment_id="0"/> + </IPIN> + <IPIN side="left" index="6" node_id="306" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1042" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1059" index="7" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1062" index="16" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1057" index="17" segment_id="0"/> + </IPIN> + <IPIN side="left" index="7" node_id="307" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1060" index="8" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1049" index="9" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1054" index="18" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1063" index="19" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_0__3_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_0__3_.xml new file mode 100644 index 000000000..5dc83d65a --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_0__3_.xml @@ -0,0 +1,60 @@ +<rr_cb x="0" y="3" num_sides="4"> + <IPIN side="right" index="0" node_id="475" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1064" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1043" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1060" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1053" index="11" segment_id="0"/> + </IPIN> + <IPIN side="right" index="1" node_id="476" mux_size="2"> + <driver_node type="CHANY" side="top" node_id="1058" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1045" index="3" segment_id="0"/> + </IPIN> + <IPIN side="left" index="0" node_id="448" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1038" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1059" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1048" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1067" index="15" segment_id="0"/> + </IPIN> + <IPIN side="left" index="1" node_id="449" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1040" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1065" index="7" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1068" index="16" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1063" index="17" segment_id="0"/> + </IPIN> + <IPIN side="left" index="2" node_id="450" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1066" index="8" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1051" index="9" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1062" index="18" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1069" index="19" segment_id="0"/> + </IPIN> + <IPIN side="left" index="3" node_id="451" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1064" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1043" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1060" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1053" index="11" segment_id="0"/> + </IPIN> + <IPIN side="left" index="4" node_id="452" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1058" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1045" index="3" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1046" index="12" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1061" index="13" segment_id="0"/> + </IPIN> + <IPIN side="left" index="5" node_id="453" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1038" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1059" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1048" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1067" index="15" segment_id="0"/> + </IPIN> + <IPIN side="left" index="6" node_id="454" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1040" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1065" index="7" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1068" index="16" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1063" index="17" segment_id="0"/> + </IPIN> + <IPIN side="left" index="7" node_id="455" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1066" index="8" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1051" index="9" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1062" index="18" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1069" index="19" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_0__4_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_0__4_.xml new file mode 100644 index 000000000..82f30d5b4 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_0__4_.xml @@ -0,0 +1,60 @@ +<rr_cb x="0" y="4" num_sides="4"> + <IPIN side="right" index="0" node_id="623" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1070" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1045" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1066" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1061" index="11" segment_id="0"/> + </IPIN> + <IPIN side="right" index="1" node_id="624" mux_size="2"> + <driver_node type="CHANY" side="top" node_id="1064" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1059" index="3" segment_id="0"/> + </IPIN> + <IPIN side="left" index="0" node_id="596" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1058" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1065" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1046" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1073" index="15" segment_id="0"/> + </IPIN> + <IPIN side="left" index="1" node_id="597" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1038" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1071" index="7" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1074" index="16" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1069" index="17" segment_id="0"/> + </IPIN> + <IPIN side="left" index="2" node_id="598" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1072" index="8" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1053" index="9" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1068" index="18" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1075" index="19" segment_id="0"/> + </IPIN> + <IPIN side="left" index="3" node_id="599" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1070" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1045" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1066" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1061" index="11" segment_id="0"/> + </IPIN> + <IPIN side="left" index="4" node_id="600" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1064" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1059" index="3" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1060" index="12" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1067" index="13" segment_id="0"/> + </IPIN> + <IPIN side="left" index="5" node_id="601" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1058" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1065" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1046" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1073" index="15" segment_id="0"/> + </IPIN> + <IPIN side="left" index="6" node_id="602" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1038" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1071" index="7" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1074" index="16" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1069" index="17" segment_id="0"/> + </IPIN> + <IPIN side="left" index="7" node_id="603" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1072" index="8" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1053" index="9" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1068" index="18" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1075" index="19" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_0__5_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_0__5_.xml new file mode 100644 index 000000000..ef28b42a4 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_0__5_.xml @@ -0,0 +1,2 @@ +<rr_cb x="0" y="5" num_sides="4"> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_1__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_1__1_.xml new file mode 100644 index 000000000..685366747 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_1__1_.xml @@ -0,0 +1,26 @@ +<rr_cb x="1" y="1" num_sides="4"> + <IPIN side="right" index="0" node_id="200" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1076" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1077" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1086" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1087" index="11" segment_id="0"/> + </IPIN> + <IPIN side="right" index="1" node_id="201" mux_size="2"> + <driver_node type="CHANY" side="top" node_id="1078" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1079" index="3" segment_id="0"/> + </IPIN> + <IPIN side="left" index="0" node_id="173" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1080" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1081" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1090" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1091" index="15" segment_id="0"/> + </IPIN> + <IPIN side="left" index="1" node_id="174" mux_size="2"> + <driver_node type="CHANY" side="top" node_id="1082" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1083" index="7" segment_id="0"/> + </IPIN> + <IPIN side="left" index="2" node_id="175" mux_size="2"> + <driver_node type="CHANY" side="top" node_id="1084" index="8" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1085" index="9" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_1__2_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_1__2_.xml new file mode 100644 index 000000000..af800832a --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_1__2_.xml @@ -0,0 +1,26 @@ +<rr_cb x="1" y="2" num_sides="4"> + <IPIN side="right" index="0" node_id="348" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1096" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1079" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1084" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1089" index="11" segment_id="0"/> + </IPIN> + <IPIN side="right" index="1" node_id="349" mux_size="2"> + <driver_node type="CHANY" side="top" node_id="1076" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1081" index="3" segment_id="0"/> + </IPIN> + <IPIN side="left" index="0" node_id="321" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1078" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1083" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1088" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1099" index="15" segment_id="0"/> + </IPIN> + <IPIN side="left" index="1" node_id="322" mux_size="2"> + <driver_node type="CHANY" side="top" node_id="1080" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1097" index="7" segment_id="0"/> + </IPIN> + <IPIN side="left" index="2" node_id="323" mux_size="2"> + <driver_node type="CHANY" side="top" node_id="1098" index="8" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1087" index="9" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_1__3_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_1__3_.xml new file mode 100644 index 000000000..ee71fb230 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_1__3_.xml @@ -0,0 +1,26 @@ +<rr_cb x="1" y="3" num_sides="4"> + <IPIN side="right" index="0" node_id="496" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1102" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1081" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1098" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1091" index="11" segment_id="0"/> + </IPIN> + <IPIN side="right" index="1" node_id="497" mux_size="2"> + <driver_node type="CHANY" side="top" node_id="1096" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1083" index="3" segment_id="0"/> + </IPIN> + <IPIN side="left" index="0" node_id="469" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1076" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1097" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1086" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1105" index="15" segment_id="0"/> + </IPIN> + <IPIN side="left" index="1" node_id="470" mux_size="2"> + <driver_node type="CHANY" side="top" node_id="1078" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1103" index="7" segment_id="0"/> + </IPIN> + <IPIN side="left" index="2" node_id="471" mux_size="2"> + <driver_node type="CHANY" side="top" node_id="1104" index="8" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1089" index="9" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_1__4_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_1__4_.xml new file mode 100644 index 000000000..00d00a5fd --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_1__4_.xml @@ -0,0 +1,26 @@ +<rr_cb x="1" y="4" num_sides="4"> + <IPIN side="right" index="0" node_id="644" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1108" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1083" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1104" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1099" index="11" segment_id="0"/> + </IPIN> + <IPIN side="right" index="1" node_id="645" mux_size="2"> + <driver_node type="CHANY" side="top" node_id="1102" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1097" index="3" segment_id="0"/> + </IPIN> + <IPIN side="left" index="0" node_id="617" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1096" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1103" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1084" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1111" index="15" segment_id="0"/> + </IPIN> + <IPIN side="left" index="1" node_id="618" mux_size="2"> + <driver_node type="CHANY" side="top" node_id="1076" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1109" index="7" segment_id="0"/> + </IPIN> + <IPIN side="left" index="2" node_id="619" mux_size="2"> + <driver_node type="CHANY" side="top" node_id="1110" index="8" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1091" index="9" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_1__5_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_1__5_.xml new file mode 100644 index 000000000..cbc65a24f --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_1__5_.xml @@ -0,0 +1,2 @@ +<rr_cb x="1" y="5" num_sides="4"> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_2__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_2__1_.xml new file mode 100644 index 000000000..c93bbf890 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_2__1_.xml @@ -0,0 +1,26 @@ +<rr_cb x="2" y="1" num_sides="4"> + <IPIN side="right" index="0" node_id="221" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1114" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1115" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1124" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1125" index="11" segment_id="0"/> + </IPIN> + <IPIN side="right" index="1" node_id="222" mux_size="2"> + <driver_node type="CHANY" side="top" node_id="1116" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1117" index="3" segment_id="0"/> + </IPIN> + <IPIN side="left" index="0" node_id="194" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1118" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1119" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1128" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1129" index="15" segment_id="0"/> + </IPIN> + <IPIN side="left" index="1" node_id="195" mux_size="2"> + <driver_node type="CHANY" side="top" node_id="1120" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1121" index="7" segment_id="0"/> + </IPIN> + <IPIN side="left" index="2" node_id="196" mux_size="2"> + <driver_node type="CHANY" side="top" node_id="1122" index="8" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1123" index="9" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_2__2_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_2__2_.xml new file mode 100644 index 000000000..de31ff3cc --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_2__2_.xml @@ -0,0 +1,26 @@ +<rr_cb x="2" y="2" num_sides="4"> + <IPIN side="right" index="0" node_id="369" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1134" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1117" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1122" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1127" index="11" segment_id="0"/> + </IPIN> + <IPIN side="right" index="1" node_id="370" mux_size="2"> + <driver_node type="CHANY" side="top" node_id="1114" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1119" index="3" segment_id="0"/> + </IPIN> + <IPIN side="left" index="0" node_id="342" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1116" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1121" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1126" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1137" index="15" segment_id="0"/> + </IPIN> + <IPIN side="left" index="1" node_id="343" mux_size="2"> + <driver_node type="CHANY" side="top" node_id="1118" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1135" index="7" segment_id="0"/> + </IPIN> + <IPIN side="left" index="2" node_id="344" mux_size="2"> + <driver_node type="CHANY" side="top" node_id="1136" index="8" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1125" index="9" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_2__3_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_2__3_.xml new file mode 100644 index 000000000..e10d1106b --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_2__3_.xml @@ -0,0 +1,26 @@ +<rr_cb x="2" y="3" num_sides="4"> + <IPIN side="right" index="0" node_id="517" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1140" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1119" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1136" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1129" index="11" segment_id="0"/> + </IPIN> + <IPIN side="right" index="1" node_id="518" mux_size="2"> + <driver_node type="CHANY" side="top" node_id="1134" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1121" index="3" segment_id="0"/> + </IPIN> + <IPIN side="left" index="0" node_id="490" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1114" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1135" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1124" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1143" index="15" segment_id="0"/> + </IPIN> + <IPIN side="left" index="1" node_id="491" mux_size="2"> + <driver_node type="CHANY" side="top" node_id="1116" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1141" index="7" segment_id="0"/> + </IPIN> + <IPIN side="left" index="2" node_id="492" mux_size="2"> + <driver_node type="CHANY" side="top" node_id="1142" index="8" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1127" index="9" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_2__4_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_2__4_.xml new file mode 100644 index 000000000..cd54a99c7 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_2__4_.xml @@ -0,0 +1,26 @@ +<rr_cb x="2" y="4" num_sides="4"> + <IPIN side="right" index="0" node_id="665" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1146" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1121" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1142" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1137" index="11" segment_id="0"/> + </IPIN> + <IPIN side="right" index="1" node_id="666" mux_size="2"> + <driver_node type="CHANY" side="top" node_id="1140" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1135" index="3" segment_id="0"/> + </IPIN> + <IPIN side="left" index="0" node_id="638" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1134" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1141" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1122" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1149" index="15" segment_id="0"/> + </IPIN> + <IPIN side="left" index="1" node_id="639" mux_size="2"> + <driver_node type="CHANY" side="top" node_id="1114" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1147" index="7" segment_id="0"/> + </IPIN> + <IPIN side="left" index="2" node_id="640" mux_size="2"> + <driver_node type="CHANY" side="top" node_id="1148" index="8" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1129" index="9" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_2__5_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_2__5_.xml new file mode 100644 index 000000000..7af491c57 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_2__5_.xml @@ -0,0 +1,2 @@ +<rr_cb x="2" y="5" num_sides="4"> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_3__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_3__1_.xml new file mode 100644 index 000000000..e3f7a395c --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_3__1_.xml @@ -0,0 +1,26 @@ +<rr_cb x="3" y="1" num_sides="4"> + <IPIN side="right" index="0" node_id="242" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1152" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1153" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1162" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1163" index="11" segment_id="0"/> + </IPIN> + <IPIN side="right" index="1" node_id="243" mux_size="2"> + <driver_node type="CHANY" side="top" node_id="1154" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1155" index="3" segment_id="0"/> + </IPIN> + <IPIN side="left" index="0" node_id="215" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1156" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1157" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1166" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1167" index="15" segment_id="0"/> + </IPIN> + <IPIN side="left" index="1" node_id="216" mux_size="2"> + <driver_node type="CHANY" side="top" node_id="1158" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1159" index="7" segment_id="0"/> + </IPIN> + <IPIN side="left" index="2" node_id="217" mux_size="2"> + <driver_node type="CHANY" side="top" node_id="1160" index="8" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1161" index="9" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_3__2_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_3__2_.xml new file mode 100644 index 000000000..4f8024349 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_3__2_.xml @@ -0,0 +1,26 @@ +<rr_cb x="3" y="2" num_sides="4"> + <IPIN side="right" index="0" node_id="390" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1172" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1155" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1160" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1165" index="11" segment_id="0"/> + </IPIN> + <IPIN side="right" index="1" node_id="391" mux_size="2"> + <driver_node type="CHANY" side="top" node_id="1152" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1157" index="3" segment_id="0"/> + </IPIN> + <IPIN side="left" index="0" node_id="363" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1154" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1159" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1164" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1175" index="15" segment_id="0"/> + </IPIN> + <IPIN side="left" index="1" node_id="364" mux_size="2"> + <driver_node type="CHANY" side="top" node_id="1156" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1173" index="7" segment_id="0"/> + </IPIN> + <IPIN side="left" index="2" node_id="365" mux_size="2"> + <driver_node type="CHANY" side="top" node_id="1174" index="8" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1163" index="9" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_3__3_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_3__3_.xml new file mode 100644 index 000000000..c19441be6 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_3__3_.xml @@ -0,0 +1,26 @@ +<rr_cb x="3" y="3" num_sides="4"> + <IPIN side="right" index="0" node_id="538" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1178" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1157" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1174" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1167" index="11" segment_id="0"/> + </IPIN> + <IPIN side="right" index="1" node_id="539" mux_size="2"> + <driver_node type="CHANY" side="top" node_id="1172" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1159" index="3" segment_id="0"/> + </IPIN> + <IPIN side="left" index="0" node_id="511" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1152" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1173" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1162" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1181" index="15" segment_id="0"/> + </IPIN> + <IPIN side="left" index="1" node_id="512" mux_size="2"> + <driver_node type="CHANY" side="top" node_id="1154" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1179" index="7" segment_id="0"/> + </IPIN> + <IPIN side="left" index="2" node_id="513" mux_size="2"> + <driver_node type="CHANY" side="top" node_id="1180" index="8" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1165" index="9" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_3__4_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_3__4_.xml new file mode 100644 index 000000000..102048421 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_3__4_.xml @@ -0,0 +1,26 @@ +<rr_cb x="3" y="4" num_sides="4"> + <IPIN side="right" index="0" node_id="686" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1184" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1159" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1180" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1175" index="11" segment_id="0"/> + </IPIN> + <IPIN side="right" index="1" node_id="687" mux_size="2"> + <driver_node type="CHANY" side="top" node_id="1178" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1173" index="3" segment_id="0"/> + </IPIN> + <IPIN side="left" index="0" node_id="659" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1172" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1179" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1160" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1187" index="15" segment_id="0"/> + </IPIN> + <IPIN side="left" index="1" node_id="660" mux_size="2"> + <driver_node type="CHANY" side="top" node_id="1152" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1185" index="7" segment_id="0"/> + </IPIN> + <IPIN side="left" index="2" node_id="661" mux_size="2"> + <driver_node type="CHANY" side="top" node_id="1186" index="8" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1167" index="9" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_3__5_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_3__5_.xml new file mode 100644 index 000000000..8133d6c25 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_3__5_.xml @@ -0,0 +1,2 @@ +<rr_cb x="3" y="5" num_sides="4"> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_4__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_4__1_.xml new file mode 100644 index 000000000..f3007ec85 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_4__1_.xml @@ -0,0 +1,64 @@ +<rr_cb x="4" y="1" num_sides="4"> + <IPIN side="right" index="0" node_id="268" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1190" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1191" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1200" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1201" index="11" segment_id="0"/> + </IPIN> + <IPIN side="right" index="1" node_id="269" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1192" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1193" index="3" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1202" index="12" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1203" index="13" segment_id="0"/> + </IPIN> + <IPIN side="right" index="2" node_id="270" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1194" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1195" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1204" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1205" index="15" segment_id="0"/> + </IPIN> + <IPIN side="right" index="3" node_id="271" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1196" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1197" index="7" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1206" index="16" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1207" index="17" segment_id="0"/> + </IPIN> + <IPIN side="right" index="4" node_id="272" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1198" index="8" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1199" index="9" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1208" index="18" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1209" index="19" segment_id="0"/> + </IPIN> + <IPIN side="right" index="5" node_id="273" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1190" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1191" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1200" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1201" index="11" segment_id="0"/> + </IPIN> + <IPIN side="right" index="6" node_id="274" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1192" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1193" index="3" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1202" index="12" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1203" index="13" segment_id="0"/> + </IPIN> + <IPIN side="right" index="7" node_id="275" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1194" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1195" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1204" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1205" index="15" segment_id="0"/> + </IPIN> + <IPIN side="left" index="0" node_id="236" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1196" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1197" index="7" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1206" index="16" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1207" index="17" segment_id="0"/> + </IPIN> + <IPIN side="left" index="1" node_id="237" mux_size="2"> + <driver_node type="CHANY" side="top" node_id="1208" index="18" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1209" index="19" segment_id="0"/> + </IPIN> + <IPIN side="left" index="2" node_id="238" mux_size="2"> + <driver_node type="CHANY" side="top" node_id="1190" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1191" index="1" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_4__2_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_4__2_.xml new file mode 100644 index 000000000..9f589a7be --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_4__2_.xml @@ -0,0 +1,64 @@ +<rr_cb x="4" y="2" num_sides="4"> + <IPIN side="right" index="0" node_id="416" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1210" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1193" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1198" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1203" index="11" segment_id="0"/> + </IPIN> + <IPIN side="right" index="1" node_id="417" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1190" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1195" index="3" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1200" index="12" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1205" index="13" segment_id="0"/> + </IPIN> + <IPIN side="right" index="2" node_id="418" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1192" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1197" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1202" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1213" index="15" segment_id="0"/> + </IPIN> + <IPIN side="right" index="3" node_id="419" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1194" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1211" index="7" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1214" index="16" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1209" index="17" segment_id="0"/> + </IPIN> + <IPIN side="right" index="4" node_id="420" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1212" index="8" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1201" index="9" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1206" index="18" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1215" index="19" segment_id="0"/> + </IPIN> + <IPIN side="right" index="5" node_id="421" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1210" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1193" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1198" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1203" index="11" segment_id="0"/> + </IPIN> + <IPIN side="right" index="6" node_id="422" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1190" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1195" index="3" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1200" index="12" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1205" index="13" segment_id="0"/> + </IPIN> + <IPIN side="right" index="7" node_id="423" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1192" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1197" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1202" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1213" index="15" segment_id="0"/> + </IPIN> + <IPIN side="left" index="0" node_id="384" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1194" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1211" index="7" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1214" index="16" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1209" index="17" segment_id="0"/> + </IPIN> + <IPIN side="left" index="1" node_id="385" mux_size="2"> + <driver_node type="CHANY" side="top" node_id="1206" index="18" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1215" index="19" segment_id="0"/> + </IPIN> + <IPIN side="left" index="2" node_id="386" mux_size="2"> + <driver_node type="CHANY" side="top" node_id="1210" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1193" index="1" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_4__3_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_4__3_.xml new file mode 100644 index 000000000..f9e0b6a9c --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_4__3_.xml @@ -0,0 +1,64 @@ +<rr_cb x="4" y="3" num_sides="4"> + <IPIN side="right" index="0" node_id="564" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1216" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1195" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1212" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1205" index="11" segment_id="0"/> + </IPIN> + <IPIN side="right" index="1" node_id="565" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1210" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1197" index="3" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1198" index="12" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1213" index="13" segment_id="0"/> + </IPIN> + <IPIN side="right" index="2" node_id="566" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1190" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1211" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1200" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1219" index="15" segment_id="0"/> + </IPIN> + <IPIN side="right" index="3" node_id="567" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1192" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1217" index="7" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1220" index="16" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1215" index="17" segment_id="0"/> + </IPIN> + <IPIN side="right" index="4" node_id="568" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1218" index="8" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1203" index="9" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1214" index="18" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1221" index="19" segment_id="0"/> + </IPIN> + <IPIN side="right" index="5" node_id="569" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1216" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1195" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1212" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1205" index="11" segment_id="0"/> + </IPIN> + <IPIN side="right" index="6" node_id="570" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1210" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1197" index="3" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1198" index="12" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1213" index="13" segment_id="0"/> + </IPIN> + <IPIN side="right" index="7" node_id="571" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1190" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1211" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1200" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1219" index="15" segment_id="0"/> + </IPIN> + <IPIN side="left" index="0" node_id="532" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1192" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1217" index="7" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1220" index="16" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1215" index="17" segment_id="0"/> + </IPIN> + <IPIN side="left" index="1" node_id="533" mux_size="2"> + <driver_node type="CHANY" side="top" node_id="1214" index="18" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1221" index="19" segment_id="0"/> + </IPIN> + <IPIN side="left" index="2" node_id="534" mux_size="2"> + <driver_node type="CHANY" side="top" node_id="1216" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1195" index="1" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_4__4_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_4__4_.xml new file mode 100644 index 000000000..dea12ded1 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_4__4_.xml @@ -0,0 +1,64 @@ +<rr_cb x="4" y="4" num_sides="4"> + <IPIN side="right" index="0" node_id="712" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1222" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1197" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1218" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1213" index="11" segment_id="0"/> + </IPIN> + <IPIN side="right" index="1" node_id="713" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1216" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1211" index="3" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1212" index="12" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1219" index="13" segment_id="0"/> + </IPIN> + <IPIN side="right" index="2" node_id="714" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1210" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1217" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1198" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1225" index="15" segment_id="0"/> + </IPIN> + <IPIN side="right" index="3" node_id="715" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1190" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1223" index="7" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1226" index="16" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1221" index="17" segment_id="0"/> + </IPIN> + <IPIN side="right" index="4" node_id="716" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1224" index="8" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1205" index="9" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1220" index="18" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1227" index="19" segment_id="0"/> + </IPIN> + <IPIN side="right" index="5" node_id="717" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1222" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1197" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1218" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1213" index="11" segment_id="0"/> + </IPIN> + <IPIN side="right" index="6" node_id="718" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1216" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1211" index="3" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1212" index="12" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1219" index="13" segment_id="0"/> + </IPIN> + <IPIN side="right" index="7" node_id="719" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1210" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1217" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1198" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1225" index="15" segment_id="0"/> + </IPIN> + <IPIN side="left" index="0" node_id="680" mux_size="4"> + <driver_node type="CHANY" side="top" node_id="1190" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1223" index="7" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1226" index="16" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1221" index="17" segment_id="0"/> + </IPIN> + <IPIN side="left" index="1" node_id="681" mux_size="2"> + <driver_node type="CHANY" side="top" node_id="1220" index="18" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1227" index="19" segment_id="0"/> + </IPIN> + <IPIN side="left" index="2" node_id="682" mux_size="2"> + <driver_node type="CHANY" side="top" node_id="1222" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" node_id="1197" index="1" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_4__5_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_4__5_.xml new file mode 100644 index 000000000..8a749f802 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_4__5_.xml @@ -0,0 +1,2 @@ +<rr_cb x="4" y="5" num_sides="4"> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_0__0_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_0__0_.xml new file mode 100644 index 000000000..5b8aaa29e --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_0__0_.xml @@ -0,0 +1,80 @@ +<rr_sb x="0" y="0" num_sides="4"> + <CHANY side="top" index="0" node_id="1038" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="0" node_id="144" grid_side="right" sb_module_pin_name="top_bottom_grid_right_width_0_height_0_subtile_0__pin_inpad_0_"/> + <driver_node type="CHANX" side="right" index="3" node_id="851" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANY> + <CHANY side="top" index="2" node_id="1040" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="1" node_id="145" grid_side="right" sb_module_pin_name="top_bottom_grid_right_width_0_height_0_subtile_1__pin_inpad_0_"/> + <driver_node type="CHANX" side="right" index="5" node_id="853" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANY> + <CHANY side="top" index="4" node_id="1042" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="2" node_id="146" grid_side="right" sb_module_pin_name="top_bottom_grid_right_width_0_height_0_subtile_2__pin_inpad_0_"/> + <driver_node type="CHANX" side="right" index="7" node_id="855" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANY> + <CHANY side="top" index="6" node_id="1044" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="3" node_id="147" grid_side="right" sb_module_pin_name="top_bottom_grid_right_width_0_height_0_subtile_3__pin_inpad_0_"/> + <driver_node type="CHANX" side="right" index="9" node_id="857" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANY> + <CHANY side="top" index="8" node_id="1046" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="4" node_id="148" grid_side="right" sb_module_pin_name="top_bottom_grid_right_width_0_height_0_subtile_4__pin_inpad_0_"/> + <driver_node type="CHANX" side="right" index="11" node_id="859" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANY> + <CHANY side="top" index="10" node_id="1048" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="5" node_id="149" grid_side="right" sb_module_pin_name="top_bottom_grid_right_width_0_height_0_subtile_5__pin_inpad_0_"/> + <driver_node type="CHANX" side="right" index="13" node_id="861" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANY> + <CHANY side="top" index="12" node_id="1050" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="6" node_id="150" grid_side="right" sb_module_pin_name="top_bottom_grid_right_width_0_height_0_subtile_6__pin_inpad_0_"/> + <driver_node type="CHANX" side="right" index="15" node_id="863" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANY> + <CHANY side="top" index="14" node_id="1052" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="7" node_id="151" grid_side="right" sb_module_pin_name="top_bottom_grid_right_width_0_height_0_subtile_7__pin_inpad_0_"/> + <driver_node type="CHANX" side="right" index="17" node_id="865" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANY> + <CHANY side="top" index="16" node_id="1054" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="8" node_id="169" grid_side="left" sb_module_pin_name="top_bottom_grid_left_width_0_height_0_subtile_0__pin_O_1_"/> + <driver_node type="CHANX" side="right" index="19" node_id="867" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANY> + <CHANY side="top" index="18" node_id="1056" segment_id="0" segment_name="L4" mux_size="1" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANX" side="right" index="1" node_id="849" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANY> + <CHANX side="right" index="0" node_id="848" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="19" node_id="1057" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="right" index="0" node_id="168" grid_side="bottom" sb_module_pin_name="right_left_grid_bottom_width_0_height_0_subtile_0__pin_O_0_"/> + </CHANX> + <CHANX side="right" index="2" node_id="850" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1039" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="right" index="1" node_id="16" grid_side="top" sb_module_pin_name="right_left_grid_top_width_0_height_0_subtile_0__pin_inpad_0_"/> + </CHANX> + <CHANX side="right" index="4" node_id="852" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1041" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="right" index="2" node_id="17" grid_side="top" sb_module_pin_name="right_left_grid_top_width_0_height_0_subtile_1__pin_inpad_0_"/> + </CHANX> + <CHANX side="right" index="6" node_id="854" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1043" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="right" index="3" node_id="18" grid_side="top" sb_module_pin_name="right_left_grid_top_width_0_height_0_subtile_2__pin_inpad_0_"/> + </CHANX> + <CHANX side="right" index="8" node_id="856" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="7" node_id="1045" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="right" index="4" node_id="19" grid_side="top" sb_module_pin_name="right_left_grid_top_width_0_height_0_subtile_3__pin_inpad_0_"/> + </CHANX> + <CHANX side="right" index="10" node_id="858" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="9" node_id="1047" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="right" index="5" node_id="20" grid_side="top" sb_module_pin_name="right_left_grid_top_width_0_height_0_subtile_4__pin_inpad_0_"/> + </CHANX> + <CHANX side="right" index="12" node_id="860" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="11" node_id="1049" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="right" index="6" node_id="21" grid_side="top" sb_module_pin_name="right_left_grid_top_width_0_height_0_subtile_5__pin_inpad_0_"/> + </CHANX> + <CHANX side="right" index="14" node_id="862" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="13" node_id="1051" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="right" index="7" node_id="22" grid_side="top" sb_module_pin_name="right_left_grid_top_width_0_height_0_subtile_6__pin_inpad_0_"/> + </CHANX> + <CHANX side="right" index="16" node_id="864" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="15" node_id="1053" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="right" index="8" node_id="23" grid_side="top" sb_module_pin_name="right_left_grid_top_width_0_height_0_subtile_7__pin_inpad_0_"/> + </CHANX> + <CHANX side="right" index="18" node_id="866" segment_id="0" segment_name="L4" mux_size="1" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="17" node_id="1055" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANX> +</rr_sb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_0__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_0__1_.xml new file mode 100644 index 000000000..0942b3fef --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_0__1_.xml @@ -0,0 +1,151 @@ +<rr_sb x="0" y="1" num_sides="4"> + <CHANY side="top" index="0" node_id="1058" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="0" node_id="292" grid_side="right" sb_module_pin_name="top_bottom_grid_right_width_0_height_0_subtile_0__pin_inpad_0_"/> + <driver_node type="OPIN" side="top" index="3" node_id="295" grid_side="right" sb_module_pin_name="top_bottom_grid_right_width_0_height_0_subtile_3__pin_inpad_0_"/> + <driver_node type="OPIN" side="top" index="6" node_id="298" grid_side="right" sb_module_pin_name="top_bottom_grid_right_width_0_height_0_subtile_6__pin_inpad_0_"/> + <driver_node type="CHANX" side="right" index="3" node_id="889" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="9" node_id="895" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="15" node_id="901" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="0" node_id="1038" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="8" node_id="1046" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="16" node_id="1054" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="2" node_id="1038" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="0" node_id="1038" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="4" node_id="1040" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="2" node_id="1040" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="6" node_id="1042" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="4" node_id="1042" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="8" node_id="1060" segment_id="0" segment_name="L4" mux_size="8" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="1" node_id="293" grid_side="right" sb_module_pin_name="top_bottom_grid_right_width_0_height_0_subtile_1__pin_inpad_0_"/> + <driver_node type="OPIN" side="top" index="4" node_id="296" grid_side="right" sb_module_pin_name="top_bottom_grid_right_width_0_height_0_subtile_4__pin_inpad_0_"/> + <driver_node type="OPIN" side="top" index="7" node_id="299" grid_side="right" sb_module_pin_name="top_bottom_grid_right_width_0_height_0_subtile_7__pin_inpad_0_"/> + <driver_node type="CHANX" side="right" index="5" node_id="891" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="11" node_id="897" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="17" node_id="903" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="2" node_id="1040" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="10" node_id="1048" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="10" node_id="1046" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="8" node_id="1046" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="12" node_id="1048" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="10" node_id="1048" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="14" node_id="1050" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="12" node_id="1050" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="16" node_id="1062" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="2" node_id="294" grid_side="right" sb_module_pin_name="top_bottom_grid_right_width_0_height_0_subtile_2__pin_inpad_0_"/> + <driver_node type="OPIN" side="top" index="5" node_id="297" grid_side="right" sb_module_pin_name="top_bottom_grid_right_width_0_height_0_subtile_5__pin_inpad_0_"/> + <driver_node type="OPIN" side="top" index="8" node_id="317" grid_side="left" sb_module_pin_name="top_bottom_grid_left_width_0_height_0_subtile_0__pin_O_1_"/> + <driver_node type="CHANX" side="right" index="1" node_id="887" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="7" node_id="893" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="13" node_id="899" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="19" node_id="905" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="4" node_id="1042" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="12" node_id="1050" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="18" node_id="1054" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="16" node_id="1054" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANX side="right" index="0" node_id="886" segment_id="0" segment_name="L4" mux_size="1" sb_module_pin_name="chanx_right_out"> + <driver_node type="OPIN" side="right" index="0" node_id="316" grid_side="bottom" sb_module_pin_name="right_left_grid_bottom_width_0_height_0_subtile_0__pin_O_0_"/> + </CHANX> + <CHANX side="right" index="2" node_id="888" segment_id="0" segment_name="L4" mux_size="3" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1041" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="7" node_id="1059" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="right" index="1" node_id="166" grid_side="top" sb_module_pin_name="right_left_grid_top_width_0_height_0_subtile_0__pin_O_2_"/> + </CHANX> + <CHANX side="right" index="4" node_id="890" segment_id="0" segment_name="L4" mux_size="3" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1043" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="15" node_id="1061" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="bottom" index="16" node_id="1054" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="right" index="6" node_id="892" segment_id="0" segment_name="L4" mux_size="3" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1045" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="19" node_id="1063" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="bottom" index="12" node_id="1050" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="right" index="8" node_id="894" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="9" node_id="1049" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="bottom" index="10" node_id="1048" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="right" index="10" node_id="896" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="11" node_id="1051" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="bottom" index="8" node_id="1046" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="right" index="12" node_id="898" segment_id="0" segment_name="L4" mux_size="3" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="13" node_id="1053" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="bottom" index="4" node_id="1042" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="18" node_id="1056" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="right" index="14" node_id="900" segment_id="0" segment_name="L4" mux_size="3" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="17" node_id="1057" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="bottom" index="2" node_id="1040" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="14" node_id="1052" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="right" index="16" node_id="902" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="bottom" index="0" node_id="1038" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="6" node_id="1044" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="right" index="18" node_id="904" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="-1" node_id="904" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANY side="bottom" index="1" node_id="1039" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1041" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="9" node_id="1049" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="17" node_id="1057" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="3" node_id="889" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="9" node_id="895" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="15" node_id="901" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="OPIN" side="bottom" index="0" node_id="169" grid_side="left" sb_module_pin_name="bottom_top_grid_left_width_0_height_0_subtile_0__pin_O_1_"/> + <driver_node type="OPIN" side="bottom" index="3" node_id="146" grid_side="right" sb_module_pin_name="bottom_top_grid_right_width_0_height_0_subtile_2__pin_inpad_0_"/> + <driver_node type="OPIN" side="bottom" index="6" node_id="149" grid_side="right" sb_module_pin_name="bottom_top_grid_right_width_0_height_0_subtile_5__pin_inpad_0_"/> + </CHANY> + <CHANY side="bottom" index="3" node_id="1041" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1041" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="5" node_id="1043" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1043" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="7" node_id="1045" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1045" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="9" node_id="1047" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1043" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="11" node_id="1051" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="1" node_id="887" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="7" node_id="893" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="13" node_id="899" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="19" node_id="905" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="OPIN" side="bottom" index="1" node_id="144" grid_side="right" sb_module_pin_name="bottom_top_grid_right_width_0_height_0_subtile_0__pin_inpad_0_"/> + <driver_node type="OPIN" side="bottom" index="4" node_id="147" grid_side="right" sb_module_pin_name="bottom_top_grid_right_width_0_height_0_subtile_3__pin_inpad_0_"/> + <driver_node type="OPIN" side="bottom" index="7" node_id="150" grid_side="right" sb_module_pin_name="bottom_top_grid_right_width_0_height_0_subtile_6__pin_inpad_0_"/> + </CHANY> + <CHANY side="bottom" index="11" node_id="1049" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="9" node_id="1049" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="13" node_id="1051" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="11" node_id="1051" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="15" node_id="1053" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="13" node_id="1053" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="17" node_id="1055" segment_id="0" segment_name="L4" mux_size="8" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1045" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="13" node_id="1053" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="5" node_id="891" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="11" node_id="897" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="17" node_id="903" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="OPIN" side="bottom" index="2" node_id="145" grid_side="right" sb_module_pin_name="bottom_top_grid_right_width_0_height_0_subtile_1__pin_inpad_0_"/> + <driver_node type="OPIN" side="bottom" index="5" node_id="148" grid_side="right" sb_module_pin_name="bottom_top_grid_right_width_0_height_0_subtile_4__pin_inpad_0_"/> + <driver_node type="OPIN" side="bottom" index="8" node_id="151" grid_side="right" sb_module_pin_name="bottom_top_grid_right_width_0_height_0_subtile_7__pin_inpad_0_"/> + </CHANY> + <CHANY side="bottom" index="19" node_id="1057" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="17" node_id="1057" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> +</rr_sb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_0__2_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_0__2_.xml new file mode 100644 index 000000000..f2d096157 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_0__2_.xml @@ -0,0 +1,151 @@ +<rr_sb x="0" y="2" num_sides="4"> + <CHANY side="top" index="0" node_id="1064" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="0" node_id="440" grid_side="right" sb_module_pin_name="top_bottom_grid_right_width_0_height_0_subtile_0__pin_inpad_0_"/> + <driver_node type="OPIN" side="top" index="3" node_id="443" grid_side="right" sb_module_pin_name="top_bottom_grid_right_width_0_height_0_subtile_3__pin_inpad_0_"/> + <driver_node type="OPIN" side="top" index="6" node_id="446" grid_side="right" sb_module_pin_name="top_bottom_grid_right_width_0_height_0_subtile_6__pin_inpad_0_"/> + <driver_node type="CHANX" side="right" index="3" node_id="927" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="9" node_id="933" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="15" node_id="939" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="0" node_id="1058" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="8" node_id="1060" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="16" node_id="1062" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="2" node_id="1058" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="0" node_id="1058" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="4" node_id="1038" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="2" node_id="1038" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="6" node_id="1040" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="4" node_id="1040" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="8" node_id="1066" segment_id="0" segment_name="L4" mux_size="8" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="1" node_id="441" grid_side="right" sb_module_pin_name="top_bottom_grid_right_width_0_height_0_subtile_1__pin_inpad_0_"/> + <driver_node type="OPIN" side="top" index="4" node_id="444" grid_side="right" sb_module_pin_name="top_bottom_grid_right_width_0_height_0_subtile_4__pin_inpad_0_"/> + <driver_node type="OPIN" side="top" index="7" node_id="447" grid_side="right" sb_module_pin_name="top_bottom_grid_right_width_0_height_0_subtile_7__pin_inpad_0_"/> + <driver_node type="CHANX" side="right" index="5" node_id="929" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="11" node_id="935" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="17" node_id="941" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="2" node_id="1038" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="10" node_id="1046" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="10" node_id="1060" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="8" node_id="1060" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="12" node_id="1046" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="10" node_id="1046" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="14" node_id="1048" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="12" node_id="1048" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="16" node_id="1068" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="2" node_id="442" grid_side="right" sb_module_pin_name="top_bottom_grid_right_width_0_height_0_subtile_2__pin_inpad_0_"/> + <driver_node type="OPIN" side="top" index="5" node_id="445" grid_side="right" sb_module_pin_name="top_bottom_grid_right_width_0_height_0_subtile_5__pin_inpad_0_"/> + <driver_node type="OPIN" side="top" index="8" node_id="465" grid_side="left" sb_module_pin_name="top_bottom_grid_left_width_0_height_0_subtile_0__pin_O_1_"/> + <driver_node type="CHANX" side="right" index="1" node_id="925" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="7" node_id="931" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="13" node_id="937" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="19" node_id="943" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="4" node_id="1040" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="12" node_id="1048" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="18" node_id="1062" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="16" node_id="1062" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANX side="right" index="0" node_id="924" segment_id="0" segment_name="L4" mux_size="1" sb_module_pin_name="chanx_right_out"> + <driver_node type="OPIN" side="right" index="0" node_id="464" grid_side="bottom" sb_module_pin_name="right_left_grid_bottom_width_0_height_0_subtile_0__pin_O_0_"/> + </CHANX> + <CHANX side="right" index="2" node_id="926" segment_id="0" segment_name="L4" mux_size="3" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1043" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="7" node_id="1065" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="right" index="1" node_id="314" grid_side="top" sb_module_pin_name="right_left_grid_top_width_0_height_0_subtile_0__pin_O_2_"/> + </CHANX> + <CHANX side="right" index="4" node_id="928" segment_id="0" segment_name="L4" mux_size="3" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1045" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="15" node_id="1067" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="bottom" index="16" node_id="1062" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="right" index="6" node_id="930" segment_id="0" segment_name="L4" mux_size="3" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1059" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="19" node_id="1069" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="bottom" index="12" node_id="1048" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="right" index="8" node_id="932" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="9" node_id="1051" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="bottom" index="10" node_id="1046" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="right" index="10" node_id="934" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="11" node_id="1053" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="bottom" index="8" node_id="1060" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="right" index="12" node_id="936" segment_id="0" segment_name="L4" mux_size="3" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="13" node_id="1061" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="bottom" index="4" node_id="1040" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="18" node_id="1054" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="right" index="14" node_id="938" segment_id="0" segment_name="L4" mux_size="3" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="17" node_id="1063" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="bottom" index="2" node_id="1038" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="14" node_id="1050" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="right" index="16" node_id="940" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="bottom" index="0" node_id="1058" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="6" node_id="1042" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="right" index="18" node_id="942" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="-1" node_id="942" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANY side="bottom" index="1" node_id="1041" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1043" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="9" node_id="1051" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="17" node_id="1063" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="3" node_id="927" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="9" node_id="933" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="15" node_id="939" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="OPIN" side="bottom" index="0" node_id="317" grid_side="left" sb_module_pin_name="bottom_top_grid_left_width_0_height_0_subtile_0__pin_O_1_"/> + <driver_node type="OPIN" side="bottom" index="3" node_id="294" grid_side="right" sb_module_pin_name="bottom_top_grid_right_width_0_height_0_subtile_2__pin_inpad_0_"/> + <driver_node type="OPIN" side="bottom" index="6" node_id="297" grid_side="right" sb_module_pin_name="bottom_top_grid_right_width_0_height_0_subtile_5__pin_inpad_0_"/> + </CHANY> + <CHANY side="bottom" index="3" node_id="1043" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1043" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="5" node_id="1045" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1045" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="7" node_id="1059" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1059" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="9" node_id="1049" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1045" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="11" node_id="1053" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="1" node_id="925" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="7" node_id="931" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="13" node_id="937" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="19" node_id="943" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="OPIN" side="bottom" index="1" node_id="292" grid_side="right" sb_module_pin_name="bottom_top_grid_right_width_0_height_0_subtile_0__pin_inpad_0_"/> + <driver_node type="OPIN" side="bottom" index="4" node_id="295" grid_side="right" sb_module_pin_name="bottom_top_grid_right_width_0_height_0_subtile_3__pin_inpad_0_"/> + <driver_node type="OPIN" side="bottom" index="7" node_id="298" grid_side="right" sb_module_pin_name="bottom_top_grid_right_width_0_height_0_subtile_6__pin_inpad_0_"/> + </CHANY> + <CHANY side="bottom" index="11" node_id="1051" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="9" node_id="1051" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="13" node_id="1053" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="11" node_id="1053" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="15" node_id="1061" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="13" node_id="1061" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="17" node_id="1057" segment_id="0" segment_name="L4" mux_size="8" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1059" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="13" node_id="1061" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="5" node_id="929" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="11" node_id="935" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="17" node_id="941" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="OPIN" side="bottom" index="2" node_id="293" grid_side="right" sb_module_pin_name="bottom_top_grid_right_width_0_height_0_subtile_1__pin_inpad_0_"/> + <driver_node type="OPIN" side="bottom" index="5" node_id="296" grid_side="right" sb_module_pin_name="bottom_top_grid_right_width_0_height_0_subtile_4__pin_inpad_0_"/> + <driver_node type="OPIN" side="bottom" index="8" node_id="299" grid_side="right" sb_module_pin_name="bottom_top_grid_right_width_0_height_0_subtile_7__pin_inpad_0_"/> + </CHANY> + <CHANY side="bottom" index="19" node_id="1063" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="17" node_id="1063" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> +</rr_sb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_0__3_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_0__3_.xml new file mode 100644 index 000000000..8ff0435ff --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_0__3_.xml @@ -0,0 +1,151 @@ +<rr_sb x="0" y="3" num_sides="4"> + <CHANY side="top" index="0" node_id="1070" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="0" node_id="588" grid_side="right" sb_module_pin_name="top_bottom_grid_right_width_0_height_0_subtile_0__pin_inpad_0_"/> + <driver_node type="OPIN" side="top" index="3" node_id="591" grid_side="right" sb_module_pin_name="top_bottom_grid_right_width_0_height_0_subtile_3__pin_inpad_0_"/> + <driver_node type="OPIN" side="top" index="6" node_id="594" grid_side="right" sb_module_pin_name="top_bottom_grid_right_width_0_height_0_subtile_6__pin_inpad_0_"/> + <driver_node type="CHANX" side="right" index="3" node_id="965" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="9" node_id="971" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="15" node_id="977" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="0" node_id="1064" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="8" node_id="1066" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="16" node_id="1068" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="2" node_id="1064" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="0" node_id="1064" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="4" node_id="1058" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="2" node_id="1058" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="6" node_id="1038" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="4" node_id="1038" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="8" node_id="1072" segment_id="0" segment_name="L4" mux_size="8" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="1" node_id="589" grid_side="right" sb_module_pin_name="top_bottom_grid_right_width_0_height_0_subtile_1__pin_inpad_0_"/> + <driver_node type="OPIN" side="top" index="4" node_id="592" grid_side="right" sb_module_pin_name="top_bottom_grid_right_width_0_height_0_subtile_4__pin_inpad_0_"/> + <driver_node type="OPIN" side="top" index="7" node_id="595" grid_side="right" sb_module_pin_name="top_bottom_grid_right_width_0_height_0_subtile_7__pin_inpad_0_"/> + <driver_node type="CHANX" side="right" index="5" node_id="967" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="11" node_id="973" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="17" node_id="979" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="2" node_id="1058" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="10" node_id="1060" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="10" node_id="1066" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="8" node_id="1066" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="12" node_id="1060" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="10" node_id="1060" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="14" node_id="1046" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="12" node_id="1046" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="16" node_id="1074" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="2" node_id="590" grid_side="right" sb_module_pin_name="top_bottom_grid_right_width_0_height_0_subtile_2__pin_inpad_0_"/> + <driver_node type="OPIN" side="top" index="5" node_id="593" grid_side="right" sb_module_pin_name="top_bottom_grid_right_width_0_height_0_subtile_5__pin_inpad_0_"/> + <driver_node type="OPIN" side="top" index="8" node_id="613" grid_side="left" sb_module_pin_name="top_bottom_grid_left_width_0_height_0_subtile_0__pin_O_1_"/> + <driver_node type="CHANX" side="right" index="1" node_id="963" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="7" node_id="969" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="13" node_id="975" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="19" node_id="981" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="4" node_id="1038" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="12" node_id="1046" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="18" node_id="1068" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="16" node_id="1068" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANX side="right" index="0" node_id="962" segment_id="0" segment_name="L4" mux_size="1" sb_module_pin_name="chanx_right_out"> + <driver_node type="OPIN" side="right" index="0" node_id="612" grid_side="bottom" sb_module_pin_name="right_left_grid_bottom_width_0_height_0_subtile_0__pin_O_0_"/> + </CHANX> + <CHANX side="right" index="2" node_id="964" segment_id="0" segment_name="L4" mux_size="3" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1045" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="7" node_id="1071" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="right" index="1" node_id="462" grid_side="top" sb_module_pin_name="right_left_grid_top_width_0_height_0_subtile_0__pin_O_2_"/> + </CHANX> + <CHANX side="right" index="4" node_id="966" segment_id="0" segment_name="L4" mux_size="3" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1059" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="15" node_id="1073" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="bottom" index="16" node_id="1068" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="right" index="6" node_id="968" segment_id="0" segment_name="L4" mux_size="3" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1065" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="19" node_id="1075" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="bottom" index="12" node_id="1046" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="right" index="8" node_id="970" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="9" node_id="1053" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="bottom" index="10" node_id="1060" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="right" index="10" node_id="972" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="11" node_id="1061" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="bottom" index="8" node_id="1066" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="right" index="12" node_id="974" segment_id="0" segment_name="L4" mux_size="3" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="13" node_id="1067" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="bottom" index="4" node_id="1038" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="18" node_id="1062" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="right" index="14" node_id="976" segment_id="0" segment_name="L4" mux_size="3" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="17" node_id="1069" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="bottom" index="2" node_id="1058" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="14" node_id="1048" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="right" index="16" node_id="978" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="bottom" index="0" node_id="1064" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="6" node_id="1040" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="right" index="18" node_id="980" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="-1" node_id="980" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANY side="bottom" index="1" node_id="1043" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1045" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="9" node_id="1053" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="17" node_id="1069" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="3" node_id="965" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="9" node_id="971" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="15" node_id="977" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="OPIN" side="bottom" index="0" node_id="465" grid_side="left" sb_module_pin_name="bottom_top_grid_left_width_0_height_0_subtile_0__pin_O_1_"/> + <driver_node type="OPIN" side="bottom" index="3" node_id="442" grid_side="right" sb_module_pin_name="bottom_top_grid_right_width_0_height_0_subtile_2__pin_inpad_0_"/> + <driver_node type="OPIN" side="bottom" index="6" node_id="445" grid_side="right" sb_module_pin_name="bottom_top_grid_right_width_0_height_0_subtile_5__pin_inpad_0_"/> + </CHANY> + <CHANY side="bottom" index="3" node_id="1045" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1045" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="5" node_id="1059" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1059" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="7" node_id="1065" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1065" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="9" node_id="1051" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1059" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="11" node_id="1061" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="1" node_id="963" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="7" node_id="969" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="13" node_id="975" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="19" node_id="981" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="OPIN" side="bottom" index="1" node_id="440" grid_side="right" sb_module_pin_name="bottom_top_grid_right_width_0_height_0_subtile_0__pin_inpad_0_"/> + <driver_node type="OPIN" side="bottom" index="4" node_id="443" grid_side="right" sb_module_pin_name="bottom_top_grid_right_width_0_height_0_subtile_3__pin_inpad_0_"/> + <driver_node type="OPIN" side="bottom" index="7" node_id="446" grid_side="right" sb_module_pin_name="bottom_top_grid_right_width_0_height_0_subtile_6__pin_inpad_0_"/> + </CHANY> + <CHANY side="bottom" index="11" node_id="1053" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="9" node_id="1053" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="13" node_id="1061" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="11" node_id="1061" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="15" node_id="1067" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="13" node_id="1067" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="17" node_id="1063" segment_id="0" segment_name="L4" mux_size="8" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1065" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="13" node_id="1067" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="5" node_id="967" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="11" node_id="973" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="17" node_id="979" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="OPIN" side="bottom" index="2" node_id="441" grid_side="right" sb_module_pin_name="bottom_top_grid_right_width_0_height_0_subtile_1__pin_inpad_0_"/> + <driver_node type="OPIN" side="bottom" index="5" node_id="444" grid_side="right" sb_module_pin_name="bottom_top_grid_right_width_0_height_0_subtile_4__pin_inpad_0_"/> + <driver_node type="OPIN" side="bottom" index="8" node_id="447" grid_side="right" sb_module_pin_name="bottom_top_grid_right_width_0_height_0_subtile_7__pin_inpad_0_"/> + </CHANY> + <CHANY side="bottom" index="19" node_id="1069" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="17" node_id="1069" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> +</rr_sb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_0__4_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_0__4_.xml new file mode 100644 index 000000000..ed55dccd1 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_0__4_.xml @@ -0,0 +1,80 @@ +<rr_sb x="0" y="4" num_sides="4"> + <CHANX side="right" index="0" node_id="1000" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_right_out"> + <driver_node type="OPIN" side="right" index="0" node_id="736" grid_side="bottom" sb_module_pin_name="right_left_grid_bottom_width_0_height_0_subtile_0__pin_inpad_0_"/> + <driver_node type="CHANY" side="bottom" index="16" node_id="1074" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="right" index="2" node_id="1002" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_right_out"> + <driver_node type="OPIN" side="right" index="1" node_id="737" grid_side="bottom" sb_module_pin_name="right_left_grid_bottom_width_0_height_0_subtile_1__pin_inpad_0_"/> + <driver_node type="CHANY" side="bottom" index="14" node_id="1046" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="right" index="4" node_id="1004" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_right_out"> + <driver_node type="OPIN" side="right" index="2" node_id="738" grid_side="bottom" sb_module_pin_name="right_left_grid_bottom_width_0_height_0_subtile_2__pin_inpad_0_"/> + <driver_node type="CHANY" side="bottom" index="12" node_id="1060" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="right" index="6" node_id="1006" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_right_out"> + <driver_node type="OPIN" side="right" index="3" node_id="739" grid_side="bottom" sb_module_pin_name="right_left_grid_bottom_width_0_height_0_subtile_3__pin_inpad_0_"/> + <driver_node type="CHANY" side="bottom" index="10" node_id="1066" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="right" index="8" node_id="1008" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_right_out"> + <driver_node type="OPIN" side="right" index="4" node_id="740" grid_side="bottom" sb_module_pin_name="right_left_grid_bottom_width_0_height_0_subtile_4__pin_inpad_0_"/> + <driver_node type="CHANY" side="bottom" index="8" node_id="1072" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="right" index="10" node_id="1010" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_right_out"> + <driver_node type="OPIN" side="right" index="5" node_id="741" grid_side="bottom" sb_module_pin_name="right_left_grid_bottom_width_0_height_0_subtile_5__pin_inpad_0_"/> + <driver_node type="CHANY" side="bottom" index="6" node_id="1038" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="right" index="12" node_id="1012" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_right_out"> + <driver_node type="OPIN" side="right" index="6" node_id="742" grid_side="bottom" sb_module_pin_name="right_left_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_"/> + <driver_node type="CHANY" side="bottom" index="4" node_id="1058" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="right" index="14" node_id="1014" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_right_out"> + <driver_node type="OPIN" side="right" index="7" node_id="743" grid_side="bottom" sb_module_pin_name="right_left_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_"/> + <driver_node type="CHANY" side="bottom" index="2" node_id="1064" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="right" index="16" node_id="1016" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_right_out"> + <driver_node type="OPIN" side="right" index="8" node_id="610" grid_side="top" sb_module_pin_name="right_left_grid_top_width_0_height_0_subtile_0__pin_O_2_"/> + <driver_node type="CHANY" side="bottom" index="0" node_id="1070" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="right" index="18" node_id="1018" segment_id="0" segment_name="L4" mux_size="1" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="bottom" index="18" node_id="1068" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANY side="bottom" index="1" node_id="1045" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANX" side="right" index="17" node_id="1017" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="OPIN" side="bottom" index="0" node_id="613" grid_side="left" sb_module_pin_name="bottom_top_grid_left_width_0_height_0_subtile_0__pin_O_1_"/> + </CHANY> + <CHANY side="bottom" index="3" node_id="1059" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANX" side="right" index="15" node_id="1015" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="OPIN" side="bottom" index="1" node_id="588" grid_side="right" sb_module_pin_name="bottom_top_grid_right_width_0_height_0_subtile_0__pin_inpad_0_"/> + </CHANY> + <CHANY side="bottom" index="5" node_id="1065" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANX" side="right" index="13" node_id="1013" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="OPIN" side="bottom" index="2" node_id="589" grid_side="right" sb_module_pin_name="bottom_top_grid_right_width_0_height_0_subtile_1__pin_inpad_0_"/> + </CHANY> + <CHANY side="bottom" index="7" node_id="1071" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANX" side="right" index="11" node_id="1011" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="OPIN" side="bottom" index="3" node_id="590" grid_side="right" sb_module_pin_name="bottom_top_grid_right_width_0_height_0_subtile_2__pin_inpad_0_"/> + </CHANY> + <CHANY side="bottom" index="9" node_id="1053" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANX" side="right" index="9" node_id="1009" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="OPIN" side="bottom" index="4" node_id="591" grid_side="right" sb_module_pin_name="bottom_top_grid_right_width_0_height_0_subtile_3__pin_inpad_0_"/> + </CHANY> + <CHANY side="bottom" index="11" node_id="1061" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANX" side="right" index="7" node_id="1007" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="OPIN" side="bottom" index="5" node_id="592" grid_side="right" sb_module_pin_name="bottom_top_grid_right_width_0_height_0_subtile_4__pin_inpad_0_"/> + </CHANY> + <CHANY side="bottom" index="13" node_id="1067" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANX" side="right" index="5" node_id="1005" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="OPIN" side="bottom" index="6" node_id="593" grid_side="right" sb_module_pin_name="bottom_top_grid_right_width_0_height_0_subtile_5__pin_inpad_0_"/> + </CHANY> + <CHANY side="bottom" index="15" node_id="1073" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANX" side="right" index="3" node_id="1003" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="OPIN" side="bottom" index="7" node_id="594" grid_side="right" sb_module_pin_name="bottom_top_grid_right_width_0_height_0_subtile_6__pin_inpad_0_"/> + </CHANY> + <CHANY side="bottom" index="17" node_id="1069" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANX" side="right" index="1" node_id="1001" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="OPIN" side="bottom" index="8" node_id="595" grid_side="right" sb_module_pin_name="bottom_top_grid_right_width_0_height_0_subtile_7__pin_inpad_0_"/> + </CHANY> + <CHANY side="bottom" index="19" node_id="1075" segment_id="0" segment_name="L4" mux_size="1" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANX" side="right" index="19" node_id="1019" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANY> +</rr_sb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_1__0_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_1__0_.xml new file mode 100644 index 000000000..2c59dc413 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_1__0_.xml @@ -0,0 +1,150 @@ +<rr_sb x="1" y="0" num_sides="4"> + <CHANY side="top" index="0" node_id="1076" segment_id="0" segment_name="L4" mux_size="5" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="0" node_id="167" grid_side="right" sb_module_pin_name="top_bottom_grid_right_width_0_height_0_subtile_0__pin_O_3_"/> + <driver_node type="CHANX" side="right" index="3" node_id="853" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="15" node_id="871" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="left" index="0" node_id="848" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="6" node_id="854" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="2" node_id="1078" segment_id="0" segment_name="L4" mux_size="3" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="1" node_id="190" grid_side="left" sb_module_pin_name="top_bottom_grid_left_width_0_height_0_subtile_0__pin_O_1_"/> + <driver_node type="CHANX" side="right" index="5" node_id="855" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="19" node_id="873" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANY> + <CHANY side="top" index="4" node_id="1080" segment_id="0" segment_name="L4" mux_size="1" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANX" side="right" index="9" node_id="859" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANY> + <CHANY side="top" index="6" node_id="1082" segment_id="0" segment_name="L4" mux_size="1" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANX" side="right" index="11" node_id="861" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANY> + <CHANY side="top" index="8" node_id="1084" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANX" side="right" index="13" node_id="863" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="left" index="16" node_id="864" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="10" node_id="1086" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANX" side="right" index="17" node_id="867" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="left" index="12" node_id="860" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="12" node_id="1088" segment_id="0" segment_name="L4" mux_size="1" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANX" side="left" index="10" node_id="858" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="14" node_id="1090" segment_id="0" segment_name="L4" mux_size="1" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANX" side="left" index="8" node_id="856" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="16" node_id="1092" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANX" side="left" index="4" node_id="852" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="18" node_id="866" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="18" node_id="1094" segment_id="0" segment_name="L4" mux_size="4" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANX" side="right" index="1" node_id="851" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="7" node_id="869" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="left" index="2" node_id="850" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="14" node_id="862" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANX side="right" index="0" node_id="868" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1081" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="11" node_id="1087" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="17" node_id="1093" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="right" index="0" node_id="189" grid_side="bottom" sb_module_pin_name="right_left_grid_bottom_width_0_height_0_subtile_0__pin_O_0_"/> + <driver_node type="OPIN" side="right" index="3" node_id="50" grid_side="top" sb_module_pin_name="right_left_grid_top_width_0_height_0_subtile_2__pin_inpad_0_"/> + <driver_node type="OPIN" side="right" index="6" node_id="53" grid_side="top" sb_module_pin_name="right_left_grid_top_width_0_height_0_subtile_5__pin_inpad_0_"/> + <driver_node type="CHANX" side="left" index="0" node_id="848" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="8" node_id="856" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="16" node_id="864" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="2" node_id="848" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="0" node_id="848" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="4" node_id="850" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="2" node_id="850" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="6" node_id="852" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="4" node_id="852" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="8" node_id="870" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1077" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="7" node_id="1083" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="13" node_id="1089" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="19" node_id="1095" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="right" index="1" node_id="48" grid_side="top" sb_module_pin_name="right_left_grid_top_width_0_height_0_subtile_0__pin_inpad_0_"/> + <driver_node type="OPIN" side="right" index="4" node_id="51" grid_side="top" sb_module_pin_name="right_left_grid_top_width_0_height_0_subtile_3__pin_inpad_0_"/> + <driver_node type="OPIN" side="right" index="7" node_id="54" grid_side="top" sb_module_pin_name="right_left_grid_top_width_0_height_0_subtile_6__pin_inpad_0_"/> + <driver_node type="CHANX" side="left" index="2" node_id="850" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="10" node_id="858" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="10" node_id="856" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="8" node_id="856" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="12" node_id="858" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="10" node_id="858" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="14" node_id="860" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="12" node_id="860" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="16" node_id="872" segment_id="0" segment_name="L4" mux_size="8" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1079" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="9" node_id="1085" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="15" node_id="1091" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="right" index="2" node_id="49" grid_side="top" sb_module_pin_name="right_left_grid_top_width_0_height_0_subtile_1__pin_inpad_0_"/> + <driver_node type="OPIN" side="right" index="5" node_id="52" grid_side="top" sb_module_pin_name="right_left_grid_top_width_0_height_0_subtile_4__pin_inpad_0_"/> + <driver_node type="OPIN" side="right" index="8" node_id="55" grid_side="top" sb_module_pin_name="right_left_grid_top_width_0_height_0_subtile_7__pin_inpad_0_"/> + <driver_node type="CHANX" side="left" index="4" node_id="852" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="12" node_id="860" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="18" node_id="864" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="16" node_id="864" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="left" index="1" node_id="849" segment_id="0" segment_name="L4" mux_size="10" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1077" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="7" node_id="1083" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="13" node_id="1089" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="19" node_id="1095" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="1" node_id="851" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="9" node_id="859" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="17" node_id="867" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="OPIN" side="left" index="0" node_id="168" grid_side="bottom" sb_module_pin_name="left_right_grid_bottom_width_0_height_0_subtile_0__pin_O_0_"/> + <driver_node type="OPIN" side="left" index="3" node_id="18" grid_side="top" sb_module_pin_name="left_right_grid_top_width_0_height_0_subtile_2__pin_inpad_0_"/> + <driver_node type="OPIN" side="left" index="6" node_id="21" grid_side="top" sb_module_pin_name="left_right_grid_top_width_0_height_0_subtile_5__pin_inpad_0_"/> + </CHANX> + <CHANX side="left" index="3" node_id="851" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="1" node_id="851" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="5" node_id="853" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="3" node_id="853" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="7" node_id="855" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="5" node_id="855" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="9" node_id="857" segment_id="0" segment_name="L4" mux_size="8" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1081" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="11" node_id="1087" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="17" node_id="1093" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="3" node_id="853" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="11" node_id="861" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="OPIN" side="left" index="1" node_id="16" grid_side="top" sb_module_pin_name="left_right_grid_top_width_0_height_0_subtile_0__pin_inpad_0_"/> + <driver_node type="OPIN" side="left" index="4" node_id="19" grid_side="top" sb_module_pin_name="left_right_grid_top_width_0_height_0_subtile_3__pin_inpad_0_"/> + <driver_node type="OPIN" side="left" index="7" node_id="22" grid_side="top" sb_module_pin_name="left_right_grid_top_width_0_height_0_subtile_6__pin_inpad_0_"/> + </CHANX> + <CHANX side="left" index="11" node_id="859" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="9" node_id="859" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="13" node_id="861" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="11" node_id="861" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="15" node_id="863" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="13" node_id="863" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="17" node_id="865" segment_id="0" segment_name="L4" mux_size="8" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1079" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="9" node_id="1085" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="15" node_id="1091" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="5" node_id="855" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="13" node_id="863" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="OPIN" side="left" index="2" node_id="17" grid_side="top" sb_module_pin_name="left_right_grid_top_width_0_height_0_subtile_1__pin_inpad_0_"/> + <driver_node type="OPIN" side="left" index="5" node_id="20" grid_side="top" sb_module_pin_name="left_right_grid_top_width_0_height_0_subtile_4__pin_inpad_0_"/> + <driver_node type="OPIN" side="left" index="8" node_id="23" grid_side="top" sb_module_pin_name="left_right_grid_top_width_0_height_0_subtile_7__pin_inpad_0_"/> + </CHANX> + <CHANX side="left" index="19" node_id="867" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="17" node_id="867" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> +</rr_sb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_1__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_1__1_.xml new file mode 100644 index 000000000..1234f6b19 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_1__1_.xml @@ -0,0 +1,226 @@ +<rr_sb x="1" y="1" num_sides="4"> + <CHANY side="top" index="0" node_id="1096" segment_id="0" segment_name="L4" mux_size="11" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="0" node_id="315" grid_side="right" sb_module_pin_name="top_bottom_grid_right_width_0_height_0_subtile_0__pin_O_3_"/> + <driver_node type="CHANX" side="right" index="3" node_id="891" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="11" node_id="899" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="15" node_id="909" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="0" node_id="1076" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="8" node_id="1084" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="16" node_id="1092" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="0" node_id="886" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="6" node_id="892" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="8" node_id="894" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="16" node_id="902" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="2" node_id="1076" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="0" node_id="1076" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="4" node_id="1078" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="2" node_id="1078" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="6" node_id="1080" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="4" node_id="1080" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="8" node_id="1098" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="1" node_id="338" grid_side="left" sb_module_pin_name="top_bottom_grid_left_width_0_height_0_subtile_0__pin_O_1_"/> + <driver_node type="CHANX" side="right" index="5" node_id="893" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="13" node_id="901" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="19" node_id="911" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="2" node_id="1078" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="10" node_id="1086" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="4" node_id="890" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="12" node_id="898" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="18" node_id="904" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="10" node_id="1084" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="8" node_id="1084" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="12" node_id="1086" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="10" node_id="1086" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="14" node_id="1088" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="12" node_id="1088" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="16" node_id="1100" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANX" side="right" index="1" node_id="889" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="7" node_id="907" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="9" node_id="897" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="17" node_id="905" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="4" node_id="1080" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="12" node_id="1088" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="2" node_id="888" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="10" node_id="896" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="14" node_id="900" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="18" node_id="1092" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="16" node_id="1092" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANX side="right" index="0" node_id="906" segment_id="0" segment_name="L4" mux_size="10" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1083" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="13" node_id="1091" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="19" node_id="1101" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="right" index="0" node_id="337" grid_side="bottom" sb_module_pin_name="right_left_grid_bottom_width_0_height_0_subtile_0__pin_O_0_"/> + <driver_node type="CHANY" side="bottom" index="2" node_id="1078" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="10" node_id="1086" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="14" node_id="1090" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="0" node_id="886" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="8" node_id="894" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="16" node_id="902" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="2" node_id="886" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="0" node_id="886" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="4" node_id="888" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="2" node_id="888" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="6" node_id="890" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="4" node_id="890" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="8" node_id="908" segment_id="0" segment_name="L4" mux_size="11" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1079" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="7" node_id="1097" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="9" node_id="1087" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="17" node_id="1095" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="right" index="1" node_id="187" grid_side="top" sb_module_pin_name="right_left_grid_top_width_0_height_0_subtile_0__pin_O_2_"/> + <driver_node type="CHANY" side="bottom" index="0" node_id="1076" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="6" node_id="1082" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="8" node_id="1084" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="16" node_id="1092" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="2" node_id="888" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="10" node_id="896" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="10" node_id="894" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="8" node_id="894" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="12" node_id="896" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="10" node_id="896" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="14" node_id="898" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="12" node_id="898" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="16" node_id="910" segment_id="0" segment_name="L4" mux_size="8" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1081" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="11" node_id="1089" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="15" node_id="1099" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="bottom" index="4" node_id="1080" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="12" node_id="1088" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="18" node_id="1094" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="4" node_id="890" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="12" node_id="898" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="18" node_id="902" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="16" node_id="902" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANY side="bottom" index="1" node_id="1077" segment_id="0" segment_name="L4" mux_size="10" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1079" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="9" node_id="1087" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="17" node_id="1095" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="3" node_id="891" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="11" node_id="899" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="15" node_id="909" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="OPIN" side="bottom" index="0" node_id="190" grid_side="left" sb_module_pin_name="bottom_top_grid_left_width_0_height_0_subtile_0__pin_O_1_"/> + <driver_node type="CHANX" side="left" index="2" node_id="888" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="10" node_id="896" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="14" node_id="900" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="3" node_id="1079" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1079" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="5" node_id="1081" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1081" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="7" node_id="1083" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1083" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="9" node_id="1085" segment_id="0" segment_name="L4" mux_size="10" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1081" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="11" node_id="1089" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="1" node_id="889" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="7" node_id="907" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="9" node_id="897" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="17" node_id="905" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="OPIN" side="bottom" index="1" node_id="167" grid_side="right" sb_module_pin_name="bottom_top_grid_right_width_0_height_0_subtile_0__pin_O_3_"/> + <driver_node type="CHANX" side="left" index="4" node_id="890" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="12" node_id="898" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="18" node_id="904" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="11" node_id="1087" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="9" node_id="1087" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="13" node_id="1089" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="11" node_id="1089" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="15" node_id="1091" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="13" node_id="1091" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="17" node_id="1093" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1083" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="13" node_id="1091" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="5" node_id="893" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="13" node_id="901" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="19" node_id="911" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="left" index="0" node_id="886" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="6" node_id="892" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="8" node_id="894" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="16" node_id="902" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="19" node_id="1095" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="17" node_id="1095" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANX side="left" index="1" node_id="887" segment_id="0" segment_name="L4" mux_size="11" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1079" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="7" node_id="1097" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="9" node_id="1087" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="17" node_id="1095" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="1" node_id="889" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="9" node_id="897" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="17" node_id="905" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="4" node_id="1080" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="12" node_id="1088" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="18" node_id="1094" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="OPIN" side="left" index="0" node_id="316" grid_side="bottom" sb_module_pin_name="left_right_grid_bottom_width_0_height_0_subtile_0__pin_O_0_"/> + </CHANX> + <CHANX side="left" index="3" node_id="889" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="1" node_id="889" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="5" node_id="891" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="3" node_id="891" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="7" node_id="893" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="5" node_id="893" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="9" node_id="895" segment_id="0" segment_name="L4" mux_size="10" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1083" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="13" node_id="1091" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="19" node_id="1101" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="3" node_id="891" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="11" node_id="899" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="0" node_id="1076" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="6" node_id="1082" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="8" node_id="1084" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="16" node_id="1092" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="OPIN" side="left" index="1" node_id="166" grid_side="top" sb_module_pin_name="left_right_grid_top_width_0_height_0_subtile_0__pin_O_2_"/> + </CHANX> + <CHANX side="left" index="11" node_id="897" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="9" node_id="897" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="13" node_id="899" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="11" node_id="899" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="15" node_id="901" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="13" node_id="901" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="17" node_id="903" segment_id="0" segment_name="L4" mux_size="8" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1081" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="11" node_id="1089" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="15" node_id="1099" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="5" node_id="893" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="13" node_id="901" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="2" node_id="1078" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="10" node_id="1086" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="14" node_id="1090" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="left" index="19" node_id="905" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="17" node_id="905" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> +</rr_sb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_1__2_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_1__2_.xml new file mode 100644 index 000000000..ea27be117 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_1__2_.xml @@ -0,0 +1,226 @@ +<rr_sb x="1" y="2" num_sides="4"> + <CHANY side="top" index="0" node_id="1102" segment_id="0" segment_name="L4" mux_size="11" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="0" node_id="463" grid_side="right" sb_module_pin_name="top_bottom_grid_right_width_0_height_0_subtile_0__pin_O_3_"/> + <driver_node type="CHANX" side="right" index="3" node_id="929" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="11" node_id="937" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="15" node_id="947" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="0" node_id="1096" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="8" node_id="1098" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="16" node_id="1100" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="0" node_id="924" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="6" node_id="930" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="8" node_id="932" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="16" node_id="940" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="2" node_id="1096" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="0" node_id="1096" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="4" node_id="1076" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="2" node_id="1076" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="6" node_id="1078" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="4" node_id="1078" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="8" node_id="1104" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="1" node_id="486" grid_side="left" sb_module_pin_name="top_bottom_grid_left_width_0_height_0_subtile_0__pin_O_1_"/> + <driver_node type="CHANX" side="right" index="5" node_id="931" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="13" node_id="939" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="19" node_id="949" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="2" node_id="1076" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="10" node_id="1084" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="4" node_id="928" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="12" node_id="936" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="18" node_id="942" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="10" node_id="1098" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="8" node_id="1098" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="12" node_id="1084" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="10" node_id="1084" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="14" node_id="1086" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="12" node_id="1086" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="16" node_id="1106" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANX" side="right" index="1" node_id="927" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="7" node_id="945" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="9" node_id="935" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="17" node_id="943" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="4" node_id="1078" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="12" node_id="1086" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="2" node_id="926" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="10" node_id="934" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="14" node_id="938" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="18" node_id="1100" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="16" node_id="1100" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANX side="right" index="0" node_id="944" segment_id="0" segment_name="L4" mux_size="10" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1097" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="13" node_id="1099" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="19" node_id="1107" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="right" index="0" node_id="485" grid_side="bottom" sb_module_pin_name="right_left_grid_bottom_width_0_height_0_subtile_0__pin_O_0_"/> + <driver_node type="CHANY" side="bottom" index="2" node_id="1076" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="10" node_id="1084" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="14" node_id="1088" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="0" node_id="924" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="8" node_id="932" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="16" node_id="940" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="2" node_id="924" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="0" node_id="924" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="4" node_id="926" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="2" node_id="926" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="6" node_id="928" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="4" node_id="928" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="8" node_id="946" segment_id="0" segment_name="L4" mux_size="11" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1081" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="7" node_id="1103" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="9" node_id="1089" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="17" node_id="1101" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="right" index="1" node_id="335" grid_side="top" sb_module_pin_name="right_left_grid_top_width_0_height_0_subtile_0__pin_O_2_"/> + <driver_node type="CHANY" side="bottom" index="0" node_id="1096" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="6" node_id="1080" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="8" node_id="1098" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="16" node_id="1100" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="2" node_id="926" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="10" node_id="934" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="10" node_id="932" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="8" node_id="932" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="12" node_id="934" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="10" node_id="934" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="14" node_id="936" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="12" node_id="936" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="16" node_id="948" segment_id="0" segment_name="L4" mux_size="8" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1083" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="11" node_id="1091" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="15" node_id="1105" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="bottom" index="4" node_id="1078" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="12" node_id="1086" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="18" node_id="1092" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="4" node_id="928" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="12" node_id="936" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="18" node_id="940" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="16" node_id="940" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANY side="bottom" index="1" node_id="1079" segment_id="0" segment_name="L4" mux_size="10" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1081" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="9" node_id="1089" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="17" node_id="1101" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="3" node_id="929" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="11" node_id="937" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="15" node_id="947" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="OPIN" side="bottom" index="0" node_id="338" grid_side="left" sb_module_pin_name="bottom_top_grid_left_width_0_height_0_subtile_0__pin_O_1_"/> + <driver_node type="CHANX" side="left" index="2" node_id="926" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="10" node_id="934" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="14" node_id="938" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="3" node_id="1081" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1081" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="5" node_id="1083" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1083" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="7" node_id="1097" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1097" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="9" node_id="1087" segment_id="0" segment_name="L4" mux_size="10" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1083" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="11" node_id="1091" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="1" node_id="927" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="7" node_id="945" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="9" node_id="935" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="17" node_id="943" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="OPIN" side="bottom" index="1" node_id="315" grid_side="right" sb_module_pin_name="bottom_top_grid_right_width_0_height_0_subtile_0__pin_O_3_"/> + <driver_node type="CHANX" side="left" index="4" node_id="928" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="12" node_id="936" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="18" node_id="942" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="11" node_id="1089" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="9" node_id="1089" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="13" node_id="1091" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="11" node_id="1091" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="15" node_id="1099" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="13" node_id="1099" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="17" node_id="1095" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1097" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="13" node_id="1099" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="5" node_id="931" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="13" node_id="939" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="19" node_id="949" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="left" index="0" node_id="924" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="6" node_id="930" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="8" node_id="932" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="16" node_id="940" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="19" node_id="1101" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="17" node_id="1101" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANX side="left" index="1" node_id="925" segment_id="0" segment_name="L4" mux_size="11" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1081" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="7" node_id="1103" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="9" node_id="1089" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="17" node_id="1101" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="1" node_id="927" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="9" node_id="935" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="17" node_id="943" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="4" node_id="1078" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="12" node_id="1086" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="18" node_id="1092" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="OPIN" side="left" index="0" node_id="464" grid_side="bottom" sb_module_pin_name="left_right_grid_bottom_width_0_height_0_subtile_0__pin_O_0_"/> + </CHANX> + <CHANX side="left" index="3" node_id="927" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="1" node_id="927" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="5" node_id="929" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="3" node_id="929" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="7" node_id="931" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="5" node_id="931" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="9" node_id="933" segment_id="0" segment_name="L4" mux_size="10" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1097" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="13" node_id="1099" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="19" node_id="1107" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="3" node_id="929" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="11" node_id="937" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="0" node_id="1096" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="6" node_id="1080" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="8" node_id="1098" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="16" node_id="1100" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="OPIN" side="left" index="1" node_id="314" grid_side="top" sb_module_pin_name="left_right_grid_top_width_0_height_0_subtile_0__pin_O_2_"/> + </CHANX> + <CHANX side="left" index="11" node_id="935" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="9" node_id="935" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="13" node_id="937" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="11" node_id="937" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="15" node_id="939" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="13" node_id="939" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="17" node_id="941" segment_id="0" segment_name="L4" mux_size="8" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1083" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="11" node_id="1091" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="15" node_id="1105" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="5" node_id="931" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="13" node_id="939" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="2" node_id="1076" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="10" node_id="1084" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="14" node_id="1088" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="left" index="19" node_id="943" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="17" node_id="943" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> +</rr_sb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_1__3_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_1__3_.xml new file mode 100644 index 000000000..07e35b230 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_1__3_.xml @@ -0,0 +1,226 @@ +<rr_sb x="1" y="3" num_sides="4"> + <CHANY side="top" index="0" node_id="1108" segment_id="0" segment_name="L4" mux_size="11" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="0" node_id="611" grid_side="right" sb_module_pin_name="top_bottom_grid_right_width_0_height_0_subtile_0__pin_O_3_"/> + <driver_node type="CHANX" side="right" index="3" node_id="967" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="11" node_id="975" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="15" node_id="985" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="0" node_id="1102" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="8" node_id="1104" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="16" node_id="1106" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="0" node_id="962" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="6" node_id="968" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="8" node_id="970" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="16" node_id="978" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="2" node_id="1102" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="0" node_id="1102" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="4" node_id="1096" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="2" node_id="1096" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="6" node_id="1076" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="4" node_id="1076" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="8" node_id="1110" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="1" node_id="634" grid_side="left" sb_module_pin_name="top_bottom_grid_left_width_0_height_0_subtile_0__pin_O_1_"/> + <driver_node type="CHANX" side="right" index="5" node_id="969" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="13" node_id="977" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="19" node_id="987" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="2" node_id="1096" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="10" node_id="1098" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="4" node_id="966" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="12" node_id="974" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="18" node_id="980" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="10" node_id="1104" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="8" node_id="1104" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="12" node_id="1098" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="10" node_id="1098" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="14" node_id="1084" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="12" node_id="1084" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="16" node_id="1112" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANX" side="right" index="1" node_id="965" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="7" node_id="983" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="9" node_id="973" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="17" node_id="981" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="4" node_id="1076" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="12" node_id="1084" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="2" node_id="964" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="10" node_id="972" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="14" node_id="976" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="18" node_id="1106" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="16" node_id="1106" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANX side="right" index="0" node_id="982" segment_id="0" segment_name="L4" mux_size="10" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1103" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="13" node_id="1105" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="19" node_id="1113" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="right" index="0" node_id="633" grid_side="bottom" sb_module_pin_name="right_left_grid_bottom_width_0_height_0_subtile_0__pin_O_0_"/> + <driver_node type="CHANY" side="bottom" index="2" node_id="1096" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="10" node_id="1098" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="14" node_id="1086" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="0" node_id="962" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="8" node_id="970" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="16" node_id="978" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="2" node_id="962" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="0" node_id="962" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="4" node_id="964" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="2" node_id="964" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="6" node_id="966" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="4" node_id="966" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="8" node_id="984" segment_id="0" segment_name="L4" mux_size="11" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1083" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="7" node_id="1109" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="9" node_id="1091" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="17" node_id="1107" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="right" index="1" node_id="483" grid_side="top" sb_module_pin_name="right_left_grid_top_width_0_height_0_subtile_0__pin_O_2_"/> + <driver_node type="CHANY" side="bottom" index="0" node_id="1102" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="6" node_id="1078" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="8" node_id="1104" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="16" node_id="1106" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="2" node_id="964" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="10" node_id="972" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="10" node_id="970" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="8" node_id="970" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="12" node_id="972" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="10" node_id="972" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="14" node_id="974" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="12" node_id="974" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="16" node_id="986" segment_id="0" segment_name="L4" mux_size="8" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1097" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="11" node_id="1099" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="15" node_id="1111" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="bottom" index="4" node_id="1076" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="12" node_id="1084" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="18" node_id="1100" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="4" node_id="966" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="12" node_id="974" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="18" node_id="978" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="16" node_id="978" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANY side="bottom" index="1" node_id="1081" segment_id="0" segment_name="L4" mux_size="10" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1083" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="9" node_id="1091" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="17" node_id="1107" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="3" node_id="967" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="11" node_id="975" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="15" node_id="985" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="OPIN" side="bottom" index="0" node_id="486" grid_side="left" sb_module_pin_name="bottom_top_grid_left_width_0_height_0_subtile_0__pin_O_1_"/> + <driver_node type="CHANX" side="left" index="2" node_id="964" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="10" node_id="972" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="14" node_id="976" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="3" node_id="1083" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1083" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="5" node_id="1097" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1097" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="7" node_id="1103" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1103" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="9" node_id="1089" segment_id="0" segment_name="L4" mux_size="10" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1097" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="11" node_id="1099" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="1" node_id="965" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="7" node_id="983" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="9" node_id="973" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="17" node_id="981" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="OPIN" side="bottom" index="1" node_id="463" grid_side="right" sb_module_pin_name="bottom_top_grid_right_width_0_height_0_subtile_0__pin_O_3_"/> + <driver_node type="CHANX" side="left" index="4" node_id="966" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="12" node_id="974" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="18" node_id="980" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="11" node_id="1091" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="9" node_id="1091" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="13" node_id="1099" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="11" node_id="1099" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="15" node_id="1105" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="13" node_id="1105" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="17" node_id="1101" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1103" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="13" node_id="1105" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="5" node_id="969" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="13" node_id="977" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="19" node_id="987" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="left" index="0" node_id="962" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="6" node_id="968" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="8" node_id="970" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="16" node_id="978" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="19" node_id="1107" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="17" node_id="1107" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANX side="left" index="1" node_id="963" segment_id="0" segment_name="L4" mux_size="11" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1083" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="7" node_id="1109" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="9" node_id="1091" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="17" node_id="1107" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="1" node_id="965" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="9" node_id="973" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="17" node_id="981" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="4" node_id="1076" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="12" node_id="1084" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="18" node_id="1100" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="OPIN" side="left" index="0" node_id="612" grid_side="bottom" sb_module_pin_name="left_right_grid_bottom_width_0_height_0_subtile_0__pin_O_0_"/> + </CHANX> + <CHANX side="left" index="3" node_id="965" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="1" node_id="965" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="5" node_id="967" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="3" node_id="967" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="7" node_id="969" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="5" node_id="969" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="9" node_id="971" segment_id="0" segment_name="L4" mux_size="10" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1103" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="13" node_id="1105" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="19" node_id="1113" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="3" node_id="967" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="11" node_id="975" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="0" node_id="1102" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="6" node_id="1078" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="8" node_id="1104" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="16" node_id="1106" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="OPIN" side="left" index="1" node_id="462" grid_side="top" sb_module_pin_name="left_right_grid_top_width_0_height_0_subtile_0__pin_O_2_"/> + </CHANX> + <CHANX side="left" index="11" node_id="973" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="9" node_id="973" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="13" node_id="975" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="11" node_id="975" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="15" node_id="977" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="13" node_id="977" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="17" node_id="979" segment_id="0" segment_name="L4" mux_size="8" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1097" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="11" node_id="1099" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="15" node_id="1111" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="5" node_id="969" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="13" node_id="977" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="2" node_id="1096" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="10" node_id="1098" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="14" node_id="1086" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="left" index="19" node_id="981" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="17" node_id="981" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> +</rr_sb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_1__4_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_1__4_.xml new file mode 100644 index 000000000..81983daa6 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_1__4_.xml @@ -0,0 +1,150 @@ +<rr_sb x="1" y="4" num_sides="4"> + <CHANX side="right" index="0" node_id="1020" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chanx_right_out"> + <driver_node type="OPIN" side="right" index="0" node_id="768" grid_side="bottom" sb_module_pin_name="right_left_grid_bottom_width_0_height_0_subtile_0__pin_inpad_0_"/> + <driver_node type="OPIN" side="right" index="3" node_id="771" grid_side="bottom" sb_module_pin_name="right_left_grid_bottom_width_0_height_0_subtile_3__pin_inpad_0_"/> + <driver_node type="OPIN" side="right" index="6" node_id="774" grid_side="bottom" sb_module_pin_name="right_left_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_"/> + <driver_node type="CHANY" side="bottom" index="2" node_id="1102" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="8" node_id="1110" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="14" node_id="1084" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="0" node_id="1000" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="8" node_id="1008" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="16" node_id="1016" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="2" node_id="1000" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="0" node_id="1000" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="4" node_id="1002" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="2" node_id="1002" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="6" node_id="1004" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="4" node_id="1004" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="8" node_id="1022" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chanx_right_out"> + <driver_node type="OPIN" side="right" index="1" node_id="769" grid_side="bottom" sb_module_pin_name="right_left_grid_bottom_width_0_height_0_subtile_1__pin_inpad_0_"/> + <driver_node type="OPIN" side="right" index="4" node_id="772" grid_side="bottom" sb_module_pin_name="right_left_grid_bottom_width_0_height_0_subtile_4__pin_inpad_0_"/> + <driver_node type="OPIN" side="right" index="7" node_id="775" grid_side="bottom" sb_module_pin_name="right_left_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_"/> + <driver_node type="CHANY" side="bottom" index="0" node_id="1108" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="6" node_id="1076" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="12" node_id="1098" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="18" node_id="1106" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="2" node_id="1002" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="10" node_id="1010" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="10" node_id="1008" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="8" node_id="1008" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="12" node_id="1010" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="10" node_id="1010" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="14" node_id="1012" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="12" node_id="1012" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="16" node_id="1024" segment_id="0" segment_name="L4" mux_size="8" sb_module_pin_name="chanx_right_out"> + <driver_node type="OPIN" side="right" index="2" node_id="770" grid_side="bottom" sb_module_pin_name="right_left_grid_bottom_width_0_height_0_subtile_2__pin_inpad_0_"/> + <driver_node type="OPIN" side="right" index="5" node_id="773" grid_side="bottom" sb_module_pin_name="right_left_grid_bottom_width_0_height_0_subtile_5__pin_inpad_0_"/> + <driver_node type="OPIN" side="right" index="8" node_id="631" grid_side="top" sb_module_pin_name="right_left_grid_top_width_0_height_0_subtile_0__pin_O_2_"/> + <driver_node type="CHANY" side="bottom" index="4" node_id="1096" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="10" node_id="1104" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="16" node_id="1112" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="4" node_id="1004" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="12" node_id="1012" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="18" node_id="1016" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="16" node_id="1016" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANY side="bottom" index="1" node_id="1083" segment_id="0" segment_name="L4" mux_size="3" sb_module_pin_name="chany_bottom_out"> + <driver_node type="OPIN" side="bottom" index="0" node_id="634" grid_side="left" sb_module_pin_name="bottom_top_grid_left_width_0_height_0_subtile_0__pin_O_1_"/> + <driver_node type="CHANX" side="left" index="2" node_id="1002" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="14" node_id="1014" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="3" node_id="1097" segment_id="0" segment_name="L4" mux_size="3" sb_module_pin_name="chany_bottom_out"> + <driver_node type="OPIN" side="bottom" index="1" node_id="611" grid_side="right" sb_module_pin_name="bottom_top_grid_right_width_0_height_0_subtile_0__pin_O_3_"/> + <driver_node type="CHANX" side="left" index="4" node_id="1004" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="18" node_id="1018" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="5" node_id="1103" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANX" side="right" index="17" node_id="1019" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="left" index="8" node_id="1008" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="7" node_id="1109" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANX" side="right" index="13" node_id="1015" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="left" index="10" node_id="1010" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="9" node_id="1091" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANX" side="right" index="11" node_id="1013" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="left" index="12" node_id="1012" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="11" node_id="1099" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANX" side="right" index="9" node_id="1011" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="left" index="16" node_id="1016" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="13" node_id="1105" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANX" side="right" index="5" node_id="1007" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="19" node_id="1025" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANY> + <CHANY side="bottom" index="15" node_id="1111" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANX" side="right" index="3" node_id="1005" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="15" node_id="1023" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANY> + <CHANY side="bottom" index="17" node_id="1107" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANX" side="right" index="1" node_id="1003" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="7" node_id="1021" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANY> + <CHANY side="bottom" index="19" node_id="1113" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANX" side="left" index="0" node_id="1000" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="6" node_id="1006" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANX side="left" index="1" node_id="1001" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="1" node_id="1003" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="9" node_id="1011" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="17" node_id="1019" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="4" node_id="1096" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="10" node_id="1104" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="16" node_id="1112" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="OPIN" side="left" index="0" node_id="736" grid_side="bottom" sb_module_pin_name="left_right_grid_bottom_width_0_height_0_subtile_0__pin_inpad_0_"/> + <driver_node type="OPIN" side="left" index="3" node_id="739" grid_side="bottom" sb_module_pin_name="left_right_grid_bottom_width_0_height_0_subtile_3__pin_inpad_0_"/> + <driver_node type="OPIN" side="left" index="6" node_id="742" grid_side="bottom" sb_module_pin_name="left_right_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_"/> + </CHANX> + <CHANX side="left" index="3" node_id="1003" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="1" node_id="1003" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="5" node_id="1005" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="3" node_id="1005" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="7" node_id="1007" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="5" node_id="1007" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="9" node_id="1009" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="3" node_id="1005" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="11" node_id="1013" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="0" node_id="1108" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="6" node_id="1076" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="12" node_id="1098" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="18" node_id="1106" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="OPIN" side="left" index="1" node_id="737" grid_side="bottom" sb_module_pin_name="left_right_grid_bottom_width_0_height_0_subtile_1__pin_inpad_0_"/> + <driver_node type="OPIN" side="left" index="4" node_id="740" grid_side="bottom" sb_module_pin_name="left_right_grid_bottom_width_0_height_0_subtile_4__pin_inpad_0_"/> + <driver_node type="OPIN" side="left" index="7" node_id="743" grid_side="bottom" sb_module_pin_name="left_right_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_"/> + </CHANX> + <CHANX side="left" index="11" node_id="1011" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="9" node_id="1011" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="13" node_id="1013" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="11" node_id="1013" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="15" node_id="1015" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="13" node_id="1015" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="17" node_id="1017" segment_id="0" segment_name="L4" mux_size="8" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="5" node_id="1007" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="13" node_id="1015" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="2" node_id="1102" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="8" node_id="1110" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="14" node_id="1084" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="OPIN" side="left" index="2" node_id="738" grid_side="bottom" sb_module_pin_name="left_right_grid_bottom_width_0_height_0_subtile_2__pin_inpad_0_"/> + <driver_node type="OPIN" side="left" index="5" node_id="741" grid_side="bottom" sb_module_pin_name="left_right_grid_bottom_width_0_height_0_subtile_5__pin_inpad_0_"/> + <driver_node type="OPIN" side="left" index="8" node_id="610" grid_side="top" sb_module_pin_name="left_right_grid_top_width_0_height_0_subtile_0__pin_O_2_"/> + </CHANX> + <CHANX side="left" index="19" node_id="1019" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="17" node_id="1019" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> +</rr_sb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_2__0_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_2__0_.xml new file mode 100644 index 000000000..ae777c8a1 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_2__0_.xml @@ -0,0 +1,150 @@ +<rr_sb x="2" y="0" num_sides="4"> + <CHANY side="top" index="0" node_id="1114" segment_id="0" segment_name="L4" mux_size="5" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="0" node_id="188" grid_side="right" sb_module_pin_name="top_bottom_grid_right_width_0_height_0_subtile_0__pin_O_3_"/> + <driver_node type="CHANX" side="right" index="3" node_id="855" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="15" node_id="877" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="left" index="0" node_id="868" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="6" node_id="852" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="2" node_id="1116" segment_id="0" segment_name="L4" mux_size="3" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="1" node_id="211" grid_side="left" sb_module_pin_name="top_bottom_grid_left_width_0_height_0_subtile_0__pin_O_1_"/> + <driver_node type="CHANX" side="right" index="5" node_id="869" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="19" node_id="879" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANY> + <CHANY side="top" index="4" node_id="1118" segment_id="0" segment_name="L4" mux_size="1" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANX" side="right" index="9" node_id="861" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANY> + <CHANY side="top" index="6" node_id="1120" segment_id="0" segment_name="L4" mux_size="1" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANX" side="right" index="11" node_id="863" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANY> + <CHANY side="top" index="8" node_id="1122" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANX" side="right" index="13" node_id="871" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="left" index="16" node_id="872" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="10" node_id="1124" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANX" side="right" index="17" node_id="873" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="left" index="12" node_id="858" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="12" node_id="1126" segment_id="0" segment_name="L4" mux_size="1" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANX" side="left" index="10" node_id="856" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="14" node_id="1128" segment_id="0" segment_name="L4" mux_size="1" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANX" side="left" index="8" node_id="870" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="16" node_id="1130" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANX" side="left" index="4" node_id="850" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="18" node_id="864" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="18" node_id="1132" segment_id="0" segment_name="L4" mux_size="4" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANX" side="right" index="1" node_id="853" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="7" node_id="875" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="left" index="2" node_id="848" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="14" node_id="860" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANX side="right" index="0" node_id="874" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1119" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="11" node_id="1125" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="17" node_id="1131" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="right" index="0" node_id="210" grid_side="bottom" sb_module_pin_name="right_left_grid_bottom_width_0_height_0_subtile_0__pin_O_0_"/> + <driver_node type="OPIN" side="right" index="3" node_id="82" grid_side="top" sb_module_pin_name="right_left_grid_top_width_0_height_0_subtile_2__pin_inpad_0_"/> + <driver_node type="OPIN" side="right" index="6" node_id="85" grid_side="top" sb_module_pin_name="right_left_grid_top_width_0_height_0_subtile_5__pin_inpad_0_"/> + <driver_node type="CHANX" side="left" index="0" node_id="868" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="8" node_id="870" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="16" node_id="872" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="2" node_id="868" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="0" node_id="868" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="4" node_id="848" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="2" node_id="848" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="6" node_id="850" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="4" node_id="850" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="8" node_id="876" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1115" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="7" node_id="1121" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="13" node_id="1127" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="19" node_id="1133" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="right" index="1" node_id="80" grid_side="top" sb_module_pin_name="right_left_grid_top_width_0_height_0_subtile_0__pin_inpad_0_"/> + <driver_node type="OPIN" side="right" index="4" node_id="83" grid_side="top" sb_module_pin_name="right_left_grid_top_width_0_height_0_subtile_3__pin_inpad_0_"/> + <driver_node type="OPIN" side="right" index="7" node_id="86" grid_side="top" sb_module_pin_name="right_left_grid_top_width_0_height_0_subtile_6__pin_inpad_0_"/> + <driver_node type="CHANX" side="left" index="2" node_id="848" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="10" node_id="856" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="10" node_id="870" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="8" node_id="870" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="12" node_id="856" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="10" node_id="856" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="14" node_id="858" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="12" node_id="858" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="16" node_id="878" segment_id="0" segment_name="L4" mux_size="8" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1117" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="9" node_id="1123" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="15" node_id="1129" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="right" index="2" node_id="81" grid_side="top" sb_module_pin_name="right_left_grid_top_width_0_height_0_subtile_1__pin_inpad_0_"/> + <driver_node type="OPIN" side="right" index="5" node_id="84" grid_side="top" sb_module_pin_name="right_left_grid_top_width_0_height_0_subtile_4__pin_inpad_0_"/> + <driver_node type="OPIN" side="right" index="8" node_id="87" grid_side="top" sb_module_pin_name="right_left_grid_top_width_0_height_0_subtile_7__pin_inpad_0_"/> + <driver_node type="CHANX" side="left" index="4" node_id="850" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="12" node_id="858" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="18" node_id="872" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="16" node_id="872" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="left" index="1" node_id="851" segment_id="0" segment_name="L4" mux_size="10" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1115" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="7" node_id="1121" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="13" node_id="1127" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="19" node_id="1133" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="1" node_id="853" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="9" node_id="861" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="17" node_id="873" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="OPIN" side="left" index="0" node_id="189" grid_side="bottom" sb_module_pin_name="left_right_grid_bottom_width_0_height_0_subtile_0__pin_O_0_"/> + <driver_node type="OPIN" side="left" index="3" node_id="50" grid_side="top" sb_module_pin_name="left_right_grid_top_width_0_height_0_subtile_2__pin_inpad_0_"/> + <driver_node type="OPIN" side="left" index="6" node_id="53" grid_side="top" sb_module_pin_name="left_right_grid_top_width_0_height_0_subtile_5__pin_inpad_0_"/> + </CHANX> + <CHANX side="left" index="3" node_id="853" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="1" node_id="853" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="5" node_id="855" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="3" node_id="855" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="7" node_id="869" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="5" node_id="869" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="9" node_id="859" segment_id="0" segment_name="L4" mux_size="8" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1119" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="11" node_id="1125" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="17" node_id="1131" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="3" node_id="855" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="11" node_id="863" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="OPIN" side="left" index="1" node_id="48" grid_side="top" sb_module_pin_name="left_right_grid_top_width_0_height_0_subtile_0__pin_inpad_0_"/> + <driver_node type="OPIN" side="left" index="4" node_id="51" grid_side="top" sb_module_pin_name="left_right_grid_top_width_0_height_0_subtile_3__pin_inpad_0_"/> + <driver_node type="OPIN" side="left" index="7" node_id="54" grid_side="top" sb_module_pin_name="left_right_grid_top_width_0_height_0_subtile_6__pin_inpad_0_"/> + </CHANX> + <CHANX side="left" index="11" node_id="861" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="9" node_id="861" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="13" node_id="863" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="11" node_id="863" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="15" node_id="871" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="13" node_id="871" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="17" node_id="867" segment_id="0" segment_name="L4" mux_size="8" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1117" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="9" node_id="1123" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="15" node_id="1129" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="5" node_id="869" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="13" node_id="871" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="OPIN" side="left" index="2" node_id="49" grid_side="top" sb_module_pin_name="left_right_grid_top_width_0_height_0_subtile_1__pin_inpad_0_"/> + <driver_node type="OPIN" side="left" index="5" node_id="52" grid_side="top" sb_module_pin_name="left_right_grid_top_width_0_height_0_subtile_4__pin_inpad_0_"/> + <driver_node type="OPIN" side="left" index="8" node_id="55" grid_side="top" sb_module_pin_name="left_right_grid_top_width_0_height_0_subtile_7__pin_inpad_0_"/> + </CHANX> + <CHANX side="left" index="19" node_id="873" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="17" node_id="873" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> +</rr_sb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_2__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_2__1_.xml new file mode 100644 index 000000000..01a132814 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_2__1_.xml @@ -0,0 +1,226 @@ +<rr_sb x="2" y="1" num_sides="4"> + <CHANY side="top" index="0" node_id="1134" segment_id="0" segment_name="L4" mux_size="11" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="0" node_id="336" grid_side="right" sb_module_pin_name="top_bottom_grid_right_width_0_height_0_subtile_0__pin_O_3_"/> + <driver_node type="CHANX" side="right" index="3" node_id="893" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="11" node_id="901" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="15" node_id="915" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="0" node_id="1114" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="8" node_id="1122" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="16" node_id="1130" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="0" node_id="906" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="6" node_id="890" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="8" node_id="908" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="16" node_id="910" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="2" node_id="1114" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="0" node_id="1114" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="4" node_id="1116" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="2" node_id="1116" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="6" node_id="1118" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="4" node_id="1118" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="8" node_id="1136" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="1" node_id="359" grid_side="left" sb_module_pin_name="top_bottom_grid_left_width_0_height_0_subtile_0__pin_O_1_"/> + <driver_node type="CHANX" side="right" index="5" node_id="907" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="13" node_id="909" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="19" node_id="917" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="2" node_id="1116" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="10" node_id="1124" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="4" node_id="888" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="12" node_id="896" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="18" node_id="902" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="10" node_id="1122" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="8" node_id="1122" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="12" node_id="1124" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="10" node_id="1124" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="14" node_id="1126" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="12" node_id="1126" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="16" node_id="1138" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANX" side="right" index="1" node_id="891" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="7" node_id="913" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="9" node_id="899" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="17" node_id="911" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="4" node_id="1118" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="12" node_id="1126" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="2" node_id="886" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="10" node_id="894" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="14" node_id="898" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="18" node_id="1130" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="16" node_id="1130" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANX side="right" index="0" node_id="912" segment_id="0" segment_name="L4" mux_size="10" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1121" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="13" node_id="1129" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="19" node_id="1139" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="right" index="0" node_id="358" grid_side="bottom" sb_module_pin_name="right_left_grid_bottom_width_0_height_0_subtile_0__pin_O_0_"/> + <driver_node type="CHANY" side="bottom" index="2" node_id="1116" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="10" node_id="1124" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="14" node_id="1128" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="0" node_id="906" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="8" node_id="908" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="16" node_id="910" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="2" node_id="906" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="0" node_id="906" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="4" node_id="886" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="2" node_id="886" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="6" node_id="888" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="4" node_id="888" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="8" node_id="914" segment_id="0" segment_name="L4" mux_size="11" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1117" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="7" node_id="1135" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="9" node_id="1125" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="17" node_id="1133" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="right" index="1" node_id="208" grid_side="top" sb_module_pin_name="right_left_grid_top_width_0_height_0_subtile_0__pin_O_2_"/> + <driver_node type="CHANY" side="bottom" index="0" node_id="1114" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="6" node_id="1120" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="8" node_id="1122" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="16" node_id="1130" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="2" node_id="886" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="10" node_id="894" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="10" node_id="908" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="8" node_id="908" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="12" node_id="894" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="10" node_id="894" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="14" node_id="896" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="12" node_id="896" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="16" node_id="916" segment_id="0" segment_name="L4" mux_size="8" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1119" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="11" node_id="1127" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="15" node_id="1137" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="bottom" index="4" node_id="1118" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="12" node_id="1126" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="18" node_id="1132" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="4" node_id="888" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="12" node_id="896" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="18" node_id="910" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="16" node_id="910" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANY side="bottom" index="1" node_id="1115" segment_id="0" segment_name="L4" mux_size="10" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1117" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="9" node_id="1125" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="17" node_id="1133" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="3" node_id="893" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="11" node_id="901" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="15" node_id="915" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="OPIN" side="bottom" index="0" node_id="211" grid_side="left" sb_module_pin_name="bottom_top_grid_left_width_0_height_0_subtile_0__pin_O_1_"/> + <driver_node type="CHANX" side="left" index="2" node_id="886" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="10" node_id="894" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="14" node_id="898" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="3" node_id="1117" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1117" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="5" node_id="1119" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1119" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="7" node_id="1121" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1121" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="9" node_id="1123" segment_id="0" segment_name="L4" mux_size="10" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1119" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="11" node_id="1127" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="1" node_id="891" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="7" node_id="913" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="9" node_id="899" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="17" node_id="911" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="OPIN" side="bottom" index="1" node_id="188" grid_side="right" sb_module_pin_name="bottom_top_grid_right_width_0_height_0_subtile_0__pin_O_3_"/> + <driver_node type="CHANX" side="left" index="4" node_id="888" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="12" node_id="896" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="18" node_id="902" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="11" node_id="1125" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="9" node_id="1125" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="13" node_id="1127" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="11" node_id="1127" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="15" node_id="1129" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="13" node_id="1129" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="17" node_id="1131" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1121" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="13" node_id="1129" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="5" node_id="907" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="13" node_id="909" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="19" node_id="917" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="left" index="0" node_id="906" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="6" node_id="890" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="8" node_id="908" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="16" node_id="910" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="19" node_id="1133" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="17" node_id="1133" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANX side="left" index="1" node_id="889" segment_id="0" segment_name="L4" mux_size="11" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1117" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="7" node_id="1135" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="9" node_id="1125" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="17" node_id="1133" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="1" node_id="891" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="9" node_id="899" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="17" node_id="911" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="4" node_id="1118" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="12" node_id="1126" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="18" node_id="1132" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="OPIN" side="left" index="0" node_id="337" grid_side="bottom" sb_module_pin_name="left_right_grid_bottom_width_0_height_0_subtile_0__pin_O_0_"/> + </CHANX> + <CHANX side="left" index="3" node_id="891" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="1" node_id="891" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="5" node_id="893" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="3" node_id="893" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="7" node_id="907" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="5" node_id="907" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="9" node_id="897" segment_id="0" segment_name="L4" mux_size="10" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1121" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="13" node_id="1129" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="19" node_id="1139" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="3" node_id="893" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="11" node_id="901" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="0" node_id="1114" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="6" node_id="1120" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="8" node_id="1122" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="16" node_id="1130" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="OPIN" side="left" index="1" node_id="187" grid_side="top" sb_module_pin_name="left_right_grid_top_width_0_height_0_subtile_0__pin_O_2_"/> + </CHANX> + <CHANX side="left" index="11" node_id="899" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="9" node_id="899" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="13" node_id="901" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="11" node_id="901" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="15" node_id="909" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="13" node_id="909" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="17" node_id="905" segment_id="0" segment_name="L4" mux_size="8" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1119" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="11" node_id="1127" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="15" node_id="1137" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="5" node_id="907" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="13" node_id="909" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="2" node_id="1116" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="10" node_id="1124" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="14" node_id="1128" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="left" index="19" node_id="911" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="17" node_id="911" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> +</rr_sb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_2__2_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_2__2_.xml new file mode 100644 index 000000000..e57c4777c --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_2__2_.xml @@ -0,0 +1,226 @@ +<rr_sb x="2" y="2" num_sides="4"> + <CHANY side="top" index="0" node_id="1140" segment_id="0" segment_name="L4" mux_size="11" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="0" node_id="484" grid_side="right" sb_module_pin_name="top_bottom_grid_right_width_0_height_0_subtile_0__pin_O_3_"/> + <driver_node type="CHANX" side="right" index="3" node_id="931" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="11" node_id="939" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="15" node_id="953" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="0" node_id="1134" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="8" node_id="1136" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="16" node_id="1138" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="0" node_id="944" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="6" node_id="928" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="8" node_id="946" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="16" node_id="948" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="2" node_id="1134" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="0" node_id="1134" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="4" node_id="1114" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="2" node_id="1114" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="6" node_id="1116" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="4" node_id="1116" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="8" node_id="1142" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="1" node_id="507" grid_side="left" sb_module_pin_name="top_bottom_grid_left_width_0_height_0_subtile_0__pin_O_1_"/> + <driver_node type="CHANX" side="right" index="5" node_id="945" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="13" node_id="947" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="19" node_id="955" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="2" node_id="1114" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="10" node_id="1122" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="4" node_id="926" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="12" node_id="934" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="18" node_id="940" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="10" node_id="1136" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="8" node_id="1136" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="12" node_id="1122" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="10" node_id="1122" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="14" node_id="1124" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="12" node_id="1124" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="16" node_id="1144" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANX" side="right" index="1" node_id="929" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="7" node_id="951" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="9" node_id="937" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="17" node_id="949" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="4" node_id="1116" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="12" node_id="1124" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="2" node_id="924" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="10" node_id="932" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="14" node_id="936" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="18" node_id="1138" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="16" node_id="1138" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANX side="right" index="0" node_id="950" segment_id="0" segment_name="L4" mux_size="10" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1135" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="13" node_id="1137" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="19" node_id="1145" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="right" index="0" node_id="506" grid_side="bottom" sb_module_pin_name="right_left_grid_bottom_width_0_height_0_subtile_0__pin_O_0_"/> + <driver_node type="CHANY" side="bottom" index="2" node_id="1114" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="10" node_id="1122" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="14" node_id="1126" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="0" node_id="944" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="8" node_id="946" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="16" node_id="948" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="2" node_id="944" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="0" node_id="944" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="4" node_id="924" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="2" node_id="924" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="6" node_id="926" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="4" node_id="926" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="8" node_id="952" segment_id="0" segment_name="L4" mux_size="11" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1119" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="7" node_id="1141" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="9" node_id="1127" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="17" node_id="1139" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="right" index="1" node_id="356" grid_side="top" sb_module_pin_name="right_left_grid_top_width_0_height_0_subtile_0__pin_O_2_"/> + <driver_node type="CHANY" side="bottom" index="0" node_id="1134" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="6" node_id="1118" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="8" node_id="1136" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="16" node_id="1138" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="2" node_id="924" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="10" node_id="932" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="10" node_id="946" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="8" node_id="946" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="12" node_id="932" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="10" node_id="932" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="14" node_id="934" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="12" node_id="934" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="16" node_id="954" segment_id="0" segment_name="L4" mux_size="8" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1121" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="11" node_id="1129" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="15" node_id="1143" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="bottom" index="4" node_id="1116" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="12" node_id="1124" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="18" node_id="1130" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="4" node_id="926" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="12" node_id="934" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="18" node_id="948" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="16" node_id="948" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANY side="bottom" index="1" node_id="1117" segment_id="0" segment_name="L4" mux_size="10" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1119" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="9" node_id="1127" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="17" node_id="1139" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="3" node_id="931" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="11" node_id="939" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="15" node_id="953" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="OPIN" side="bottom" index="0" node_id="359" grid_side="left" sb_module_pin_name="bottom_top_grid_left_width_0_height_0_subtile_0__pin_O_1_"/> + <driver_node type="CHANX" side="left" index="2" node_id="924" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="10" node_id="932" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="14" node_id="936" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="3" node_id="1119" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1119" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="5" node_id="1121" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1121" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="7" node_id="1135" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1135" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="9" node_id="1125" segment_id="0" segment_name="L4" mux_size="10" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1121" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="11" node_id="1129" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="1" node_id="929" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="7" node_id="951" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="9" node_id="937" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="17" node_id="949" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="OPIN" side="bottom" index="1" node_id="336" grid_side="right" sb_module_pin_name="bottom_top_grid_right_width_0_height_0_subtile_0__pin_O_3_"/> + <driver_node type="CHANX" side="left" index="4" node_id="926" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="12" node_id="934" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="18" node_id="940" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="11" node_id="1127" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="9" node_id="1127" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="13" node_id="1129" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="11" node_id="1129" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="15" node_id="1137" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="13" node_id="1137" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="17" node_id="1133" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1135" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="13" node_id="1137" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="5" node_id="945" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="13" node_id="947" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="19" node_id="955" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="left" index="0" node_id="944" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="6" node_id="928" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="8" node_id="946" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="16" node_id="948" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="19" node_id="1139" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="17" node_id="1139" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANX side="left" index="1" node_id="927" segment_id="0" segment_name="L4" mux_size="11" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1119" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="7" node_id="1141" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="9" node_id="1127" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="17" node_id="1139" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="1" node_id="929" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="9" node_id="937" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="17" node_id="949" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="4" node_id="1116" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="12" node_id="1124" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="18" node_id="1130" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="OPIN" side="left" index="0" node_id="485" grid_side="bottom" sb_module_pin_name="left_right_grid_bottom_width_0_height_0_subtile_0__pin_O_0_"/> + </CHANX> + <CHANX side="left" index="3" node_id="929" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="1" node_id="929" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="5" node_id="931" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="3" node_id="931" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="7" node_id="945" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="5" node_id="945" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="9" node_id="935" segment_id="0" segment_name="L4" mux_size="10" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1135" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="13" node_id="1137" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="19" node_id="1145" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="3" node_id="931" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="11" node_id="939" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="0" node_id="1134" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="6" node_id="1118" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="8" node_id="1136" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="16" node_id="1138" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="OPIN" side="left" index="1" node_id="335" grid_side="top" sb_module_pin_name="left_right_grid_top_width_0_height_0_subtile_0__pin_O_2_"/> + </CHANX> + <CHANX side="left" index="11" node_id="937" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="9" node_id="937" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="13" node_id="939" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="11" node_id="939" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="15" node_id="947" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="13" node_id="947" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="17" node_id="943" segment_id="0" segment_name="L4" mux_size="8" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1121" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="11" node_id="1129" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="15" node_id="1143" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="5" node_id="945" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="13" node_id="947" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="2" node_id="1114" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="10" node_id="1122" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="14" node_id="1126" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="left" index="19" node_id="949" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="17" node_id="949" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> +</rr_sb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_2__3_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_2__3_.xml new file mode 100644 index 000000000..42d409d65 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_2__3_.xml @@ -0,0 +1,226 @@ +<rr_sb x="2" y="3" num_sides="4"> + <CHANY side="top" index="0" node_id="1146" segment_id="0" segment_name="L4" mux_size="11" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="0" node_id="632" grid_side="right" sb_module_pin_name="top_bottom_grid_right_width_0_height_0_subtile_0__pin_O_3_"/> + <driver_node type="CHANX" side="right" index="3" node_id="969" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="11" node_id="977" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="15" node_id="991" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="0" node_id="1140" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="8" node_id="1142" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="16" node_id="1144" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="0" node_id="982" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="6" node_id="966" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="8" node_id="984" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="16" node_id="986" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="2" node_id="1140" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="0" node_id="1140" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="4" node_id="1134" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="2" node_id="1134" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="6" node_id="1114" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="4" node_id="1114" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="8" node_id="1148" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="1" node_id="655" grid_side="left" sb_module_pin_name="top_bottom_grid_left_width_0_height_0_subtile_0__pin_O_1_"/> + <driver_node type="CHANX" side="right" index="5" node_id="983" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="13" node_id="985" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="19" node_id="993" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="2" node_id="1134" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="10" node_id="1136" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="4" node_id="964" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="12" node_id="972" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="18" node_id="978" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="10" node_id="1142" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="8" node_id="1142" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="12" node_id="1136" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="10" node_id="1136" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="14" node_id="1122" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="12" node_id="1122" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="16" node_id="1150" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANX" side="right" index="1" node_id="967" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="7" node_id="989" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="9" node_id="975" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="17" node_id="987" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="4" node_id="1114" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="12" node_id="1122" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="2" node_id="962" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="10" node_id="970" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="14" node_id="974" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="18" node_id="1144" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="16" node_id="1144" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANX side="right" index="0" node_id="988" segment_id="0" segment_name="L4" mux_size="10" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1141" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="13" node_id="1143" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="19" node_id="1151" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="right" index="0" node_id="654" grid_side="bottom" sb_module_pin_name="right_left_grid_bottom_width_0_height_0_subtile_0__pin_O_0_"/> + <driver_node type="CHANY" side="bottom" index="2" node_id="1134" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="10" node_id="1136" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="14" node_id="1124" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="0" node_id="982" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="8" node_id="984" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="16" node_id="986" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="2" node_id="982" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="0" node_id="982" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="4" node_id="962" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="2" node_id="962" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="6" node_id="964" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="4" node_id="964" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="8" node_id="990" segment_id="0" segment_name="L4" mux_size="11" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1121" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="7" node_id="1147" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="9" node_id="1129" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="17" node_id="1145" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="right" index="1" node_id="504" grid_side="top" sb_module_pin_name="right_left_grid_top_width_0_height_0_subtile_0__pin_O_2_"/> + <driver_node type="CHANY" side="bottom" index="0" node_id="1140" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="6" node_id="1116" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="8" node_id="1142" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="16" node_id="1144" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="2" node_id="962" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="10" node_id="970" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="10" node_id="984" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="8" node_id="984" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="12" node_id="970" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="10" node_id="970" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="14" node_id="972" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="12" node_id="972" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="16" node_id="992" segment_id="0" segment_name="L4" mux_size="8" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1135" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="11" node_id="1137" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="15" node_id="1149" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="bottom" index="4" node_id="1114" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="12" node_id="1122" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="18" node_id="1138" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="4" node_id="964" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="12" node_id="972" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="18" node_id="986" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="16" node_id="986" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANY side="bottom" index="1" node_id="1119" segment_id="0" segment_name="L4" mux_size="10" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1121" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="9" node_id="1129" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="17" node_id="1145" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="3" node_id="969" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="11" node_id="977" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="15" node_id="991" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="OPIN" side="bottom" index="0" node_id="507" grid_side="left" sb_module_pin_name="bottom_top_grid_left_width_0_height_0_subtile_0__pin_O_1_"/> + <driver_node type="CHANX" side="left" index="2" node_id="962" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="10" node_id="970" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="14" node_id="974" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="3" node_id="1121" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1121" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="5" node_id="1135" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1135" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="7" node_id="1141" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1141" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="9" node_id="1127" segment_id="0" segment_name="L4" mux_size="10" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1135" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="11" node_id="1137" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="1" node_id="967" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="7" node_id="989" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="9" node_id="975" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="17" node_id="987" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="OPIN" side="bottom" index="1" node_id="484" grid_side="right" sb_module_pin_name="bottom_top_grid_right_width_0_height_0_subtile_0__pin_O_3_"/> + <driver_node type="CHANX" side="left" index="4" node_id="964" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="12" node_id="972" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="18" node_id="978" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="11" node_id="1129" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="9" node_id="1129" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="13" node_id="1137" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="11" node_id="1137" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="15" node_id="1143" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="13" node_id="1143" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="17" node_id="1139" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1141" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="13" node_id="1143" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="5" node_id="983" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="13" node_id="985" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="19" node_id="993" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="left" index="0" node_id="982" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="6" node_id="966" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="8" node_id="984" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="16" node_id="986" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="19" node_id="1145" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="17" node_id="1145" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANX side="left" index="1" node_id="965" segment_id="0" segment_name="L4" mux_size="11" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1121" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="7" node_id="1147" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="9" node_id="1129" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="17" node_id="1145" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="1" node_id="967" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="9" node_id="975" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="17" node_id="987" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="4" node_id="1114" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="12" node_id="1122" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="18" node_id="1138" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="OPIN" side="left" index="0" node_id="633" grid_side="bottom" sb_module_pin_name="left_right_grid_bottom_width_0_height_0_subtile_0__pin_O_0_"/> + </CHANX> + <CHANX side="left" index="3" node_id="967" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="1" node_id="967" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="5" node_id="969" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="3" node_id="969" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="7" node_id="983" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="5" node_id="983" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="9" node_id="973" segment_id="0" segment_name="L4" mux_size="10" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1141" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="13" node_id="1143" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="19" node_id="1151" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="3" node_id="969" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="11" node_id="977" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="0" node_id="1140" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="6" node_id="1116" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="8" node_id="1142" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="16" node_id="1144" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="OPIN" side="left" index="1" node_id="483" grid_side="top" sb_module_pin_name="left_right_grid_top_width_0_height_0_subtile_0__pin_O_2_"/> + </CHANX> + <CHANX side="left" index="11" node_id="975" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="9" node_id="975" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="13" node_id="977" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="11" node_id="977" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="15" node_id="985" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="13" node_id="985" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="17" node_id="981" segment_id="0" segment_name="L4" mux_size="8" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1135" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="11" node_id="1137" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="15" node_id="1149" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="5" node_id="983" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="13" node_id="985" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="2" node_id="1134" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="10" node_id="1136" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="14" node_id="1124" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="left" index="19" node_id="987" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="17" node_id="987" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> +</rr_sb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_2__4_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_2__4_.xml new file mode 100644 index 000000000..f7b656277 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_2__4_.xml @@ -0,0 +1,150 @@ +<rr_sb x="2" y="4" num_sides="4"> + <CHANX side="right" index="0" node_id="1026" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chanx_right_out"> + <driver_node type="OPIN" side="right" index="0" node_id="800" grid_side="bottom" sb_module_pin_name="right_left_grid_bottom_width_0_height_0_subtile_0__pin_inpad_0_"/> + <driver_node type="OPIN" side="right" index="3" node_id="803" grid_side="bottom" sb_module_pin_name="right_left_grid_bottom_width_0_height_0_subtile_3__pin_inpad_0_"/> + <driver_node type="OPIN" side="right" index="6" node_id="806" grid_side="bottom" sb_module_pin_name="right_left_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_"/> + <driver_node type="CHANY" side="bottom" index="2" node_id="1140" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="8" node_id="1148" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="14" node_id="1122" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="0" node_id="1020" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="8" node_id="1022" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="16" node_id="1024" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="2" node_id="1020" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="0" node_id="1020" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="4" node_id="1000" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="2" node_id="1000" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="6" node_id="1002" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="4" node_id="1002" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="8" node_id="1028" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chanx_right_out"> + <driver_node type="OPIN" side="right" index="1" node_id="801" grid_side="bottom" sb_module_pin_name="right_left_grid_bottom_width_0_height_0_subtile_1__pin_inpad_0_"/> + <driver_node type="OPIN" side="right" index="4" node_id="804" grid_side="bottom" sb_module_pin_name="right_left_grid_bottom_width_0_height_0_subtile_4__pin_inpad_0_"/> + <driver_node type="OPIN" side="right" index="7" node_id="807" grid_side="bottom" sb_module_pin_name="right_left_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_"/> + <driver_node type="CHANY" side="bottom" index="0" node_id="1146" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="6" node_id="1114" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="12" node_id="1136" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="18" node_id="1144" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="2" node_id="1000" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="10" node_id="1008" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="10" node_id="1022" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="8" node_id="1022" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="12" node_id="1008" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="10" node_id="1008" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="14" node_id="1010" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="12" node_id="1010" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="16" node_id="1030" segment_id="0" segment_name="L4" mux_size="8" sb_module_pin_name="chanx_right_out"> + <driver_node type="OPIN" side="right" index="2" node_id="802" grid_side="bottom" sb_module_pin_name="right_left_grid_bottom_width_0_height_0_subtile_2__pin_inpad_0_"/> + <driver_node type="OPIN" side="right" index="5" node_id="805" grid_side="bottom" sb_module_pin_name="right_left_grid_bottom_width_0_height_0_subtile_5__pin_inpad_0_"/> + <driver_node type="OPIN" side="right" index="8" node_id="652" grid_side="top" sb_module_pin_name="right_left_grid_top_width_0_height_0_subtile_0__pin_O_2_"/> + <driver_node type="CHANY" side="bottom" index="4" node_id="1134" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="10" node_id="1142" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="16" node_id="1150" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="4" node_id="1002" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="12" node_id="1010" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="18" node_id="1024" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="16" node_id="1024" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANY side="bottom" index="1" node_id="1121" segment_id="0" segment_name="L4" mux_size="3" sb_module_pin_name="chany_bottom_out"> + <driver_node type="OPIN" side="bottom" index="0" node_id="655" grid_side="left" sb_module_pin_name="bottom_top_grid_left_width_0_height_0_subtile_0__pin_O_1_"/> + <driver_node type="CHANX" side="left" index="2" node_id="1000" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="14" node_id="1012" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="3" node_id="1135" segment_id="0" segment_name="L4" mux_size="3" sb_module_pin_name="chany_bottom_out"> + <driver_node type="OPIN" side="bottom" index="1" node_id="632" grid_side="right" sb_module_pin_name="bottom_top_grid_right_width_0_height_0_subtile_0__pin_O_3_"/> + <driver_node type="CHANX" side="left" index="4" node_id="1002" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="18" node_id="1016" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="5" node_id="1141" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANX" side="right" index="17" node_id="1025" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="left" index="8" node_id="1022" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="7" node_id="1147" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANX" side="right" index="13" node_id="1023" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="left" index="10" node_id="1008" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="9" node_id="1129" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANX" side="right" index="11" node_id="1015" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="left" index="12" node_id="1010" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="11" node_id="1137" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANX" side="right" index="9" node_id="1013" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="left" index="16" node_id="1024" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="13" node_id="1143" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANX" side="right" index="5" node_id="1021" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="19" node_id="1031" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANY> + <CHANY side="bottom" index="15" node_id="1149" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANX" side="right" index="3" node_id="1007" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="15" node_id="1029" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANY> + <CHANY side="bottom" index="17" node_id="1145" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANX" side="right" index="1" node_id="1005" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="7" node_id="1027" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANY> + <CHANY side="bottom" index="19" node_id="1151" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANX" side="left" index="0" node_id="1020" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="6" node_id="1004" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANX side="left" index="1" node_id="1003" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="1" node_id="1005" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="9" node_id="1013" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="17" node_id="1025" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="4" node_id="1134" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="10" node_id="1142" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="16" node_id="1150" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="OPIN" side="left" index="0" node_id="768" grid_side="bottom" sb_module_pin_name="left_right_grid_bottom_width_0_height_0_subtile_0__pin_inpad_0_"/> + <driver_node type="OPIN" side="left" index="3" node_id="771" grid_side="bottom" sb_module_pin_name="left_right_grid_bottom_width_0_height_0_subtile_3__pin_inpad_0_"/> + <driver_node type="OPIN" side="left" index="6" node_id="774" grid_side="bottom" sb_module_pin_name="left_right_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_"/> + </CHANX> + <CHANX side="left" index="3" node_id="1005" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="1" node_id="1005" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="5" node_id="1007" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="3" node_id="1007" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="7" node_id="1021" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="5" node_id="1021" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="9" node_id="1011" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="3" node_id="1007" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="11" node_id="1015" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="0" node_id="1146" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="6" node_id="1114" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="12" node_id="1136" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="18" node_id="1144" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="OPIN" side="left" index="1" node_id="769" grid_side="bottom" sb_module_pin_name="left_right_grid_bottom_width_0_height_0_subtile_1__pin_inpad_0_"/> + <driver_node type="OPIN" side="left" index="4" node_id="772" grid_side="bottom" sb_module_pin_name="left_right_grid_bottom_width_0_height_0_subtile_4__pin_inpad_0_"/> + <driver_node type="OPIN" side="left" index="7" node_id="775" grid_side="bottom" sb_module_pin_name="left_right_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_"/> + </CHANX> + <CHANX side="left" index="11" node_id="1013" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="9" node_id="1013" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="13" node_id="1015" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="11" node_id="1015" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="15" node_id="1023" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="13" node_id="1023" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="17" node_id="1019" segment_id="0" segment_name="L4" mux_size="8" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="5" node_id="1021" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="13" node_id="1023" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="2" node_id="1140" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="8" node_id="1148" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="14" node_id="1122" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="OPIN" side="left" index="2" node_id="770" grid_side="bottom" sb_module_pin_name="left_right_grid_bottom_width_0_height_0_subtile_2__pin_inpad_0_"/> + <driver_node type="OPIN" side="left" index="5" node_id="773" grid_side="bottom" sb_module_pin_name="left_right_grid_bottom_width_0_height_0_subtile_5__pin_inpad_0_"/> + <driver_node type="OPIN" side="left" index="8" node_id="631" grid_side="top" sb_module_pin_name="left_right_grid_top_width_0_height_0_subtile_0__pin_O_2_"/> + </CHANX> + <CHANX side="left" index="19" node_id="1025" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="17" node_id="1025" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> +</rr_sb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_3__0_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_3__0_.xml new file mode 100644 index 000000000..0e15d91bf --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_3__0_.xml @@ -0,0 +1,150 @@ +<rr_sb x="3" y="0" num_sides="4"> + <CHANY side="top" index="0" node_id="1152" segment_id="0" segment_name="L4" mux_size="5" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="0" node_id="209" grid_side="right" sb_module_pin_name="top_bottom_grid_right_width_0_height_0_subtile_0__pin_O_3_"/> + <driver_node type="CHANX" side="right" index="3" node_id="869" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="15" node_id="883" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="left" index="0" node_id="874" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="6" node_id="850" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="2" node_id="1154" segment_id="0" segment_name="L4" mux_size="3" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="1" node_id="232" grid_side="left" sb_module_pin_name="top_bottom_grid_left_width_0_height_0_subtile_0__pin_O_1_"/> + <driver_node type="CHANX" side="right" index="5" node_id="875" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="19" node_id="885" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANY> + <CHANY side="top" index="4" node_id="1156" segment_id="0" segment_name="L4" mux_size="1" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANX" side="right" index="9" node_id="863" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANY> + <CHANY side="top" index="6" node_id="1158" segment_id="0" segment_name="L4" mux_size="1" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANX" side="right" index="11" node_id="871" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANY> + <CHANY side="top" index="8" node_id="1160" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANX" side="right" index="13" node_id="877" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="left" index="16" node_id="878" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="10" node_id="1162" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANX" side="right" index="17" node_id="879" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="left" index="12" node_id="856" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="12" node_id="1164" segment_id="0" segment_name="L4" mux_size="1" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANX" side="left" index="10" node_id="870" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="14" node_id="1166" segment_id="0" segment_name="L4" mux_size="1" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANX" side="left" index="8" node_id="876" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="16" node_id="1168" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANX" side="left" index="4" node_id="848" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="18" node_id="872" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="18" node_id="1170" segment_id="0" segment_name="L4" mux_size="4" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANX" side="right" index="1" node_id="855" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="7" node_id="881" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="left" index="2" node_id="868" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="14" node_id="858" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANX side="right" index="0" node_id="880" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1157" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="11" node_id="1163" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="17" node_id="1169" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="right" index="0" node_id="231" grid_side="bottom" sb_module_pin_name="right_left_grid_bottom_width_0_height_0_subtile_0__pin_O_0_"/> + <driver_node type="OPIN" side="right" index="3" node_id="114" grid_side="top" sb_module_pin_name="right_left_grid_top_width_0_height_0_subtile_2__pin_inpad_0_"/> + <driver_node type="OPIN" side="right" index="6" node_id="117" grid_side="top" sb_module_pin_name="right_left_grid_top_width_0_height_0_subtile_5__pin_inpad_0_"/> + <driver_node type="CHANX" side="left" index="0" node_id="874" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="8" node_id="876" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="16" node_id="878" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="2" node_id="874" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="0" node_id="874" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="4" node_id="868" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="2" node_id="868" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="6" node_id="848" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="4" node_id="848" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="8" node_id="882" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1153" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="7" node_id="1159" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="13" node_id="1165" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="19" node_id="1171" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="right" index="1" node_id="112" grid_side="top" sb_module_pin_name="right_left_grid_top_width_0_height_0_subtile_0__pin_inpad_0_"/> + <driver_node type="OPIN" side="right" index="4" node_id="115" grid_side="top" sb_module_pin_name="right_left_grid_top_width_0_height_0_subtile_3__pin_inpad_0_"/> + <driver_node type="OPIN" side="right" index="7" node_id="118" grid_side="top" sb_module_pin_name="right_left_grid_top_width_0_height_0_subtile_6__pin_inpad_0_"/> + <driver_node type="CHANX" side="left" index="2" node_id="868" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="10" node_id="870" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="10" node_id="876" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="8" node_id="876" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="12" node_id="870" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="10" node_id="870" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="14" node_id="856" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="12" node_id="856" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="16" node_id="884" segment_id="0" segment_name="L4" mux_size="8" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1155" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="9" node_id="1161" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="15" node_id="1167" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="right" index="2" node_id="113" grid_side="top" sb_module_pin_name="right_left_grid_top_width_0_height_0_subtile_1__pin_inpad_0_"/> + <driver_node type="OPIN" side="right" index="5" node_id="116" grid_side="top" sb_module_pin_name="right_left_grid_top_width_0_height_0_subtile_4__pin_inpad_0_"/> + <driver_node type="OPIN" side="right" index="8" node_id="119" grid_side="top" sb_module_pin_name="right_left_grid_top_width_0_height_0_subtile_7__pin_inpad_0_"/> + <driver_node type="CHANX" side="left" index="4" node_id="848" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="12" node_id="856" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="18" node_id="878" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="16" node_id="878" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="left" index="1" node_id="853" segment_id="0" segment_name="L4" mux_size="10" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1153" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="7" node_id="1159" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="13" node_id="1165" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="19" node_id="1171" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="1" node_id="855" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="9" node_id="863" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="17" node_id="879" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="OPIN" side="left" index="0" node_id="210" grid_side="bottom" sb_module_pin_name="left_right_grid_bottom_width_0_height_0_subtile_0__pin_O_0_"/> + <driver_node type="OPIN" side="left" index="3" node_id="82" grid_side="top" sb_module_pin_name="left_right_grid_top_width_0_height_0_subtile_2__pin_inpad_0_"/> + <driver_node type="OPIN" side="left" index="6" node_id="85" grid_side="top" sb_module_pin_name="left_right_grid_top_width_0_height_0_subtile_5__pin_inpad_0_"/> + </CHANX> + <CHANX side="left" index="3" node_id="855" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="1" node_id="855" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="5" node_id="869" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="3" node_id="869" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="7" node_id="875" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="5" node_id="875" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="9" node_id="861" segment_id="0" segment_name="L4" mux_size="8" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1157" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="11" node_id="1163" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="17" node_id="1169" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="3" node_id="869" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="11" node_id="871" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="OPIN" side="left" index="1" node_id="80" grid_side="top" sb_module_pin_name="left_right_grid_top_width_0_height_0_subtile_0__pin_inpad_0_"/> + <driver_node type="OPIN" side="left" index="4" node_id="83" grid_side="top" sb_module_pin_name="left_right_grid_top_width_0_height_0_subtile_3__pin_inpad_0_"/> + <driver_node type="OPIN" side="left" index="7" node_id="86" grid_side="top" sb_module_pin_name="left_right_grid_top_width_0_height_0_subtile_6__pin_inpad_0_"/> + </CHANX> + <CHANX side="left" index="11" node_id="863" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="9" node_id="863" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="13" node_id="871" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="11" node_id="871" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="15" node_id="877" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="13" node_id="877" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="17" node_id="873" segment_id="0" segment_name="L4" mux_size="8" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1155" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="9" node_id="1161" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="15" node_id="1167" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="5" node_id="875" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="13" node_id="877" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="OPIN" side="left" index="2" node_id="81" grid_side="top" sb_module_pin_name="left_right_grid_top_width_0_height_0_subtile_1__pin_inpad_0_"/> + <driver_node type="OPIN" side="left" index="5" node_id="84" grid_side="top" sb_module_pin_name="left_right_grid_top_width_0_height_0_subtile_4__pin_inpad_0_"/> + <driver_node type="OPIN" side="left" index="8" node_id="87" grid_side="top" sb_module_pin_name="left_right_grid_top_width_0_height_0_subtile_7__pin_inpad_0_"/> + </CHANX> + <CHANX side="left" index="19" node_id="879" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="17" node_id="879" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> +</rr_sb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_3__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_3__1_.xml new file mode 100644 index 000000000..57ee9f1b3 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_3__1_.xml @@ -0,0 +1,226 @@ +<rr_sb x="3" y="1" num_sides="4"> + <CHANY side="top" index="0" node_id="1172" segment_id="0" segment_name="L4" mux_size="11" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="0" node_id="357" grid_side="right" sb_module_pin_name="top_bottom_grid_right_width_0_height_0_subtile_0__pin_O_3_"/> + <driver_node type="CHANX" side="right" index="3" node_id="907" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="11" node_id="909" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="15" node_id="921" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="0" node_id="1152" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="8" node_id="1160" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="16" node_id="1168" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="0" node_id="912" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="6" node_id="888" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="8" node_id="914" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="16" node_id="916" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="2" node_id="1152" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="0" node_id="1152" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="4" node_id="1154" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="2" node_id="1154" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="6" node_id="1156" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="4" node_id="1156" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="8" node_id="1174" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="1" node_id="380" grid_side="left" sb_module_pin_name="top_bottom_grid_left_width_0_height_0_subtile_0__pin_O_1_"/> + <driver_node type="CHANX" side="right" index="5" node_id="913" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="13" node_id="915" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="19" node_id="923" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="2" node_id="1154" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="10" node_id="1162" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="4" node_id="886" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="12" node_id="894" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="18" node_id="910" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="10" node_id="1160" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="8" node_id="1160" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="12" node_id="1162" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="10" node_id="1162" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="14" node_id="1164" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="12" node_id="1164" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="16" node_id="1176" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANX" side="right" index="1" node_id="893" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="7" node_id="919" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="9" node_id="901" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="17" node_id="917" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="4" node_id="1156" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="12" node_id="1164" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="2" node_id="906" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="10" node_id="908" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="14" node_id="896" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="18" node_id="1168" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="16" node_id="1168" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANX side="right" index="0" node_id="918" segment_id="0" segment_name="L4" mux_size="10" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1159" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="13" node_id="1167" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="19" node_id="1177" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="right" index="0" node_id="379" grid_side="bottom" sb_module_pin_name="right_left_grid_bottom_width_0_height_0_subtile_0__pin_O_0_"/> + <driver_node type="CHANY" side="bottom" index="2" node_id="1154" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="10" node_id="1162" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="14" node_id="1166" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="0" node_id="912" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="8" node_id="914" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="16" node_id="916" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="2" node_id="912" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="0" node_id="912" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="4" node_id="906" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="2" node_id="906" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="6" node_id="886" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="4" node_id="886" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="8" node_id="920" segment_id="0" segment_name="L4" mux_size="11" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1155" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="7" node_id="1173" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="9" node_id="1163" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="17" node_id="1171" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="right" index="1" node_id="229" grid_side="top" sb_module_pin_name="right_left_grid_top_width_0_height_0_subtile_0__pin_O_2_"/> + <driver_node type="CHANY" side="bottom" index="0" node_id="1152" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="6" node_id="1158" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="8" node_id="1160" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="16" node_id="1168" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="2" node_id="906" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="10" node_id="908" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="10" node_id="914" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="8" node_id="914" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="12" node_id="908" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="10" node_id="908" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="14" node_id="894" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="12" node_id="894" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="16" node_id="922" segment_id="0" segment_name="L4" mux_size="8" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1157" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="11" node_id="1165" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="15" node_id="1175" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="bottom" index="4" node_id="1156" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="12" node_id="1164" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="18" node_id="1170" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="4" node_id="886" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="12" node_id="894" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="18" node_id="916" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="16" node_id="916" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANY side="bottom" index="1" node_id="1153" segment_id="0" segment_name="L4" mux_size="10" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1155" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="9" node_id="1163" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="17" node_id="1171" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="3" node_id="907" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="11" node_id="909" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="15" node_id="921" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="OPIN" side="bottom" index="0" node_id="232" grid_side="left" sb_module_pin_name="bottom_top_grid_left_width_0_height_0_subtile_0__pin_O_1_"/> + <driver_node type="CHANX" side="left" index="2" node_id="906" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="10" node_id="908" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="14" node_id="896" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="3" node_id="1155" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1155" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="5" node_id="1157" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1157" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="7" node_id="1159" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1159" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="9" node_id="1161" segment_id="0" segment_name="L4" mux_size="10" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1157" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="11" node_id="1165" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="1" node_id="893" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="7" node_id="919" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="9" node_id="901" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="17" node_id="917" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="OPIN" side="bottom" index="1" node_id="209" grid_side="right" sb_module_pin_name="bottom_top_grid_right_width_0_height_0_subtile_0__pin_O_3_"/> + <driver_node type="CHANX" side="left" index="4" node_id="886" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="12" node_id="894" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="18" node_id="910" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="11" node_id="1163" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="9" node_id="1163" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="13" node_id="1165" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="11" node_id="1165" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="15" node_id="1167" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="13" node_id="1167" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="17" node_id="1169" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1159" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="13" node_id="1167" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="5" node_id="913" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="13" node_id="915" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="19" node_id="923" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="left" index="0" node_id="912" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="6" node_id="888" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="8" node_id="914" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="16" node_id="916" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="19" node_id="1171" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="17" node_id="1171" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANX side="left" index="1" node_id="891" segment_id="0" segment_name="L4" mux_size="11" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1155" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="7" node_id="1173" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="9" node_id="1163" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="17" node_id="1171" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="1" node_id="893" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="9" node_id="901" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="17" node_id="917" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="4" node_id="1156" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="12" node_id="1164" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="18" node_id="1170" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="OPIN" side="left" index="0" node_id="358" grid_side="bottom" sb_module_pin_name="left_right_grid_bottom_width_0_height_0_subtile_0__pin_O_0_"/> + </CHANX> + <CHANX side="left" index="3" node_id="893" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="1" node_id="893" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="5" node_id="907" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="3" node_id="907" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="7" node_id="913" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="5" node_id="913" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="9" node_id="899" segment_id="0" segment_name="L4" mux_size="10" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1159" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="13" node_id="1167" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="19" node_id="1177" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="3" node_id="907" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="11" node_id="909" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="0" node_id="1152" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="6" node_id="1158" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="8" node_id="1160" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="16" node_id="1168" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="OPIN" side="left" index="1" node_id="208" grid_side="top" sb_module_pin_name="left_right_grid_top_width_0_height_0_subtile_0__pin_O_2_"/> + </CHANX> + <CHANX side="left" index="11" node_id="901" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="9" node_id="901" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="13" node_id="909" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="11" node_id="909" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="15" node_id="915" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="13" node_id="915" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="17" node_id="911" segment_id="0" segment_name="L4" mux_size="8" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1157" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="11" node_id="1165" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="15" node_id="1175" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="5" node_id="913" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="13" node_id="915" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="2" node_id="1154" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="10" node_id="1162" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="14" node_id="1166" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="left" index="19" node_id="917" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="17" node_id="917" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> +</rr_sb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_3__2_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_3__2_.xml new file mode 100644 index 000000000..ed94af807 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_3__2_.xml @@ -0,0 +1,226 @@ +<rr_sb x="3" y="2" num_sides="4"> + <CHANY side="top" index="0" node_id="1178" segment_id="0" segment_name="L4" mux_size="11" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="0" node_id="505" grid_side="right" sb_module_pin_name="top_bottom_grid_right_width_0_height_0_subtile_0__pin_O_3_"/> + <driver_node type="CHANX" side="right" index="3" node_id="945" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="11" node_id="947" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="15" node_id="959" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="0" node_id="1172" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="8" node_id="1174" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="16" node_id="1176" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="0" node_id="950" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="6" node_id="926" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="8" node_id="952" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="16" node_id="954" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="2" node_id="1172" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="0" node_id="1172" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="4" node_id="1152" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="2" node_id="1152" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="6" node_id="1154" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="4" node_id="1154" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="8" node_id="1180" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="1" node_id="528" grid_side="left" sb_module_pin_name="top_bottom_grid_left_width_0_height_0_subtile_0__pin_O_1_"/> + <driver_node type="CHANX" side="right" index="5" node_id="951" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="13" node_id="953" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="19" node_id="961" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="2" node_id="1152" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="10" node_id="1160" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="4" node_id="924" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="12" node_id="932" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="18" node_id="948" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="10" node_id="1174" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="8" node_id="1174" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="12" node_id="1160" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="10" node_id="1160" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="14" node_id="1162" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="12" node_id="1162" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="16" node_id="1182" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANX" side="right" index="1" node_id="931" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="7" node_id="957" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="9" node_id="939" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="17" node_id="955" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="4" node_id="1154" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="12" node_id="1162" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="2" node_id="944" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="10" node_id="946" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="14" node_id="934" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="18" node_id="1176" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="16" node_id="1176" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANX side="right" index="0" node_id="956" segment_id="0" segment_name="L4" mux_size="10" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1173" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="13" node_id="1175" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="19" node_id="1183" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="right" index="0" node_id="527" grid_side="bottom" sb_module_pin_name="right_left_grid_bottom_width_0_height_0_subtile_0__pin_O_0_"/> + <driver_node type="CHANY" side="bottom" index="2" node_id="1152" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="10" node_id="1160" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="14" node_id="1164" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="0" node_id="950" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="8" node_id="952" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="16" node_id="954" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="2" node_id="950" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="0" node_id="950" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="4" node_id="944" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="2" node_id="944" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="6" node_id="924" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="4" node_id="924" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="8" node_id="958" segment_id="0" segment_name="L4" mux_size="11" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1157" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="7" node_id="1179" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="9" node_id="1165" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="17" node_id="1177" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="right" index="1" node_id="377" grid_side="top" sb_module_pin_name="right_left_grid_top_width_0_height_0_subtile_0__pin_O_2_"/> + <driver_node type="CHANY" side="bottom" index="0" node_id="1172" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="6" node_id="1156" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="8" node_id="1174" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="16" node_id="1176" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="2" node_id="944" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="10" node_id="946" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="10" node_id="952" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="8" node_id="952" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="12" node_id="946" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="10" node_id="946" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="14" node_id="932" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="12" node_id="932" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="16" node_id="960" segment_id="0" segment_name="L4" mux_size="8" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1159" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="11" node_id="1167" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="15" node_id="1181" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="bottom" index="4" node_id="1154" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="12" node_id="1162" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="18" node_id="1168" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="4" node_id="924" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="12" node_id="932" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="18" node_id="954" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="16" node_id="954" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANY side="bottom" index="1" node_id="1155" segment_id="0" segment_name="L4" mux_size="10" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1157" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="9" node_id="1165" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="17" node_id="1177" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="3" node_id="945" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="11" node_id="947" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="15" node_id="959" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="OPIN" side="bottom" index="0" node_id="380" grid_side="left" sb_module_pin_name="bottom_top_grid_left_width_0_height_0_subtile_0__pin_O_1_"/> + <driver_node type="CHANX" side="left" index="2" node_id="944" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="10" node_id="946" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="14" node_id="934" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="3" node_id="1157" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1157" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="5" node_id="1159" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1159" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="7" node_id="1173" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1173" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="9" node_id="1163" segment_id="0" segment_name="L4" mux_size="10" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1159" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="11" node_id="1167" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="1" node_id="931" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="7" node_id="957" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="9" node_id="939" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="17" node_id="955" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="OPIN" side="bottom" index="1" node_id="357" grid_side="right" sb_module_pin_name="bottom_top_grid_right_width_0_height_0_subtile_0__pin_O_3_"/> + <driver_node type="CHANX" side="left" index="4" node_id="924" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="12" node_id="932" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="18" node_id="948" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="11" node_id="1165" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="9" node_id="1165" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="13" node_id="1167" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="11" node_id="1167" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="15" node_id="1175" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="13" node_id="1175" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="17" node_id="1171" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1173" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="13" node_id="1175" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="5" node_id="951" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="13" node_id="953" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="19" node_id="961" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="left" index="0" node_id="950" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="6" node_id="926" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="8" node_id="952" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="16" node_id="954" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="19" node_id="1177" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="17" node_id="1177" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANX side="left" index="1" node_id="929" segment_id="0" segment_name="L4" mux_size="11" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1157" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="7" node_id="1179" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="9" node_id="1165" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="17" node_id="1177" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="1" node_id="931" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="9" node_id="939" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="17" node_id="955" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="4" node_id="1154" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="12" node_id="1162" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="18" node_id="1168" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="OPIN" side="left" index="0" node_id="506" grid_side="bottom" sb_module_pin_name="left_right_grid_bottom_width_0_height_0_subtile_0__pin_O_0_"/> + </CHANX> + <CHANX side="left" index="3" node_id="931" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="1" node_id="931" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="5" node_id="945" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="3" node_id="945" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="7" node_id="951" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="5" node_id="951" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="9" node_id="937" segment_id="0" segment_name="L4" mux_size="10" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1173" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="13" node_id="1175" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="19" node_id="1183" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="3" node_id="945" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="11" node_id="947" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="0" node_id="1172" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="6" node_id="1156" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="8" node_id="1174" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="16" node_id="1176" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="OPIN" side="left" index="1" node_id="356" grid_side="top" sb_module_pin_name="left_right_grid_top_width_0_height_0_subtile_0__pin_O_2_"/> + </CHANX> + <CHANX side="left" index="11" node_id="939" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="9" node_id="939" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="13" node_id="947" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="11" node_id="947" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="15" node_id="953" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="13" node_id="953" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="17" node_id="949" segment_id="0" segment_name="L4" mux_size="8" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1159" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="11" node_id="1167" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="15" node_id="1181" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="5" node_id="951" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="13" node_id="953" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="2" node_id="1152" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="10" node_id="1160" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="14" node_id="1164" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="left" index="19" node_id="955" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="17" node_id="955" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> +</rr_sb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_3__3_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_3__3_.xml new file mode 100644 index 000000000..233917eae --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_3__3_.xml @@ -0,0 +1,226 @@ +<rr_sb x="3" y="3" num_sides="4"> + <CHANY side="top" index="0" node_id="1184" segment_id="0" segment_name="L4" mux_size="11" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="0" node_id="653" grid_side="right" sb_module_pin_name="top_bottom_grid_right_width_0_height_0_subtile_0__pin_O_3_"/> + <driver_node type="CHANX" side="right" index="3" node_id="983" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="11" node_id="985" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="15" node_id="997" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="0" node_id="1178" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="8" node_id="1180" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="16" node_id="1182" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="0" node_id="988" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="6" node_id="964" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="8" node_id="990" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="16" node_id="992" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="2" node_id="1178" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="0" node_id="1178" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="4" node_id="1172" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="2" node_id="1172" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="6" node_id="1152" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="4" node_id="1152" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="8" node_id="1186" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="1" node_id="676" grid_side="left" sb_module_pin_name="top_bottom_grid_left_width_0_height_0_subtile_0__pin_O_1_"/> + <driver_node type="CHANX" side="right" index="5" node_id="989" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="13" node_id="991" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="19" node_id="999" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="2" node_id="1172" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="10" node_id="1174" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="4" node_id="962" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="12" node_id="970" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="18" node_id="986" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="10" node_id="1180" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="8" node_id="1180" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="12" node_id="1174" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="10" node_id="1174" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="14" node_id="1160" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="12" node_id="1160" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="16" node_id="1188" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANX" side="right" index="1" node_id="969" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="7" node_id="995" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="9" node_id="977" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="17" node_id="993" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="4" node_id="1152" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="12" node_id="1160" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="2" node_id="982" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="10" node_id="984" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="14" node_id="972" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="18" node_id="1182" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="16" node_id="1182" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANX side="right" index="0" node_id="994" segment_id="0" segment_name="L4" mux_size="10" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1179" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="13" node_id="1181" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="19" node_id="1189" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="right" index="0" node_id="675" grid_side="bottom" sb_module_pin_name="right_left_grid_bottom_width_0_height_0_subtile_0__pin_O_0_"/> + <driver_node type="CHANY" side="bottom" index="2" node_id="1172" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="10" node_id="1174" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="14" node_id="1162" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="0" node_id="988" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="8" node_id="990" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="16" node_id="992" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="2" node_id="988" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="0" node_id="988" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="4" node_id="982" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="2" node_id="982" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="6" node_id="962" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="4" node_id="962" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="8" node_id="996" segment_id="0" segment_name="L4" mux_size="11" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1159" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="7" node_id="1185" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="9" node_id="1167" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="17" node_id="1183" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="right" index="1" node_id="525" grid_side="top" sb_module_pin_name="right_left_grid_top_width_0_height_0_subtile_0__pin_O_2_"/> + <driver_node type="CHANY" side="bottom" index="0" node_id="1178" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="6" node_id="1154" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="8" node_id="1180" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="16" node_id="1182" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="2" node_id="982" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="10" node_id="984" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="10" node_id="990" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="8" node_id="990" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="12" node_id="984" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="10" node_id="984" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="14" node_id="970" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="12" node_id="970" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="16" node_id="998" segment_id="0" segment_name="L4" mux_size="8" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1173" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="11" node_id="1175" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="15" node_id="1187" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="bottom" index="4" node_id="1152" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="12" node_id="1160" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="18" node_id="1176" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="4" node_id="962" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="12" node_id="970" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="18" node_id="992" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="16" node_id="992" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANY side="bottom" index="1" node_id="1157" segment_id="0" segment_name="L4" mux_size="10" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1159" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="9" node_id="1167" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="17" node_id="1183" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="3" node_id="983" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="11" node_id="985" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="15" node_id="997" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="OPIN" side="bottom" index="0" node_id="528" grid_side="left" sb_module_pin_name="bottom_top_grid_left_width_0_height_0_subtile_0__pin_O_1_"/> + <driver_node type="CHANX" side="left" index="2" node_id="982" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="10" node_id="984" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="14" node_id="972" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="3" node_id="1159" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1159" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="5" node_id="1173" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1173" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="7" node_id="1179" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1179" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="9" node_id="1165" segment_id="0" segment_name="L4" mux_size="10" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1173" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="11" node_id="1175" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="1" node_id="969" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="7" node_id="995" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="9" node_id="977" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="17" node_id="993" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="OPIN" side="bottom" index="1" node_id="505" grid_side="right" sb_module_pin_name="bottom_top_grid_right_width_0_height_0_subtile_0__pin_O_3_"/> + <driver_node type="CHANX" side="left" index="4" node_id="962" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="12" node_id="970" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="18" node_id="986" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="11" node_id="1167" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="9" node_id="1167" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="13" node_id="1175" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="11" node_id="1175" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="15" node_id="1181" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="13" node_id="1181" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="17" node_id="1177" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1179" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="13" node_id="1181" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="5" node_id="989" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="13" node_id="991" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="19" node_id="999" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="left" index="0" node_id="988" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="6" node_id="964" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="8" node_id="990" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="16" node_id="992" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="19" node_id="1183" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="17" node_id="1183" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANX side="left" index="1" node_id="967" segment_id="0" segment_name="L4" mux_size="11" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1159" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="7" node_id="1185" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="9" node_id="1167" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="17" node_id="1183" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="1" node_id="969" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="9" node_id="977" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="17" node_id="993" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="4" node_id="1152" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="12" node_id="1160" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="18" node_id="1176" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="OPIN" side="left" index="0" node_id="654" grid_side="bottom" sb_module_pin_name="left_right_grid_bottom_width_0_height_0_subtile_0__pin_O_0_"/> + </CHANX> + <CHANX side="left" index="3" node_id="969" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="1" node_id="969" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="5" node_id="983" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="3" node_id="983" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="7" node_id="989" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="5" node_id="989" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="9" node_id="975" segment_id="0" segment_name="L4" mux_size="10" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1179" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="13" node_id="1181" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="19" node_id="1189" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="3" node_id="983" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="11" node_id="985" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="0" node_id="1178" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="6" node_id="1154" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="8" node_id="1180" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="16" node_id="1182" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="OPIN" side="left" index="1" node_id="504" grid_side="top" sb_module_pin_name="left_right_grid_top_width_0_height_0_subtile_0__pin_O_2_"/> + </CHANX> + <CHANX side="left" index="11" node_id="977" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="9" node_id="977" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="13" node_id="985" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="11" node_id="985" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="15" node_id="991" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="13" node_id="991" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="17" node_id="987" segment_id="0" segment_name="L4" mux_size="8" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1173" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="11" node_id="1175" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="15" node_id="1187" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANX" side="right" index="5" node_id="989" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="13" node_id="991" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="2" node_id="1172" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="10" node_id="1174" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="14" node_id="1162" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="left" index="19" node_id="993" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="17" node_id="993" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> +</rr_sb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_3__4_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_3__4_.xml new file mode 100644 index 000000000..784ab10d7 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_3__4_.xml @@ -0,0 +1,150 @@ +<rr_sb x="3" y="4" num_sides="4"> + <CHANX side="right" index="0" node_id="1032" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chanx_right_out"> + <driver_node type="OPIN" side="right" index="0" node_id="832" grid_side="bottom" sb_module_pin_name="right_left_grid_bottom_width_0_height_0_subtile_0__pin_inpad_0_"/> + <driver_node type="OPIN" side="right" index="3" node_id="835" grid_side="bottom" sb_module_pin_name="right_left_grid_bottom_width_0_height_0_subtile_3__pin_inpad_0_"/> + <driver_node type="OPIN" side="right" index="6" node_id="838" grid_side="bottom" sb_module_pin_name="right_left_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_"/> + <driver_node type="CHANY" side="bottom" index="2" node_id="1178" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="8" node_id="1186" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="14" node_id="1160" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="0" node_id="1026" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="8" node_id="1028" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="16" node_id="1030" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="2" node_id="1026" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="0" node_id="1026" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="4" node_id="1020" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="2" node_id="1020" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="6" node_id="1000" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="4" node_id="1000" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="8" node_id="1034" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chanx_right_out"> + <driver_node type="OPIN" side="right" index="1" node_id="833" grid_side="bottom" sb_module_pin_name="right_left_grid_bottom_width_0_height_0_subtile_1__pin_inpad_0_"/> + <driver_node type="OPIN" side="right" index="4" node_id="836" grid_side="bottom" sb_module_pin_name="right_left_grid_bottom_width_0_height_0_subtile_4__pin_inpad_0_"/> + <driver_node type="OPIN" side="right" index="7" node_id="839" grid_side="bottom" sb_module_pin_name="right_left_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_"/> + <driver_node type="CHANY" side="bottom" index="0" node_id="1184" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="6" node_id="1152" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="12" node_id="1174" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="18" node_id="1182" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="2" node_id="1020" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="10" node_id="1022" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="10" node_id="1028" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="8" node_id="1028" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="12" node_id="1022" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="10" node_id="1022" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="14" node_id="1008" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="12" node_id="1008" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="16" node_id="1036" segment_id="0" segment_name="L4" mux_size="8" sb_module_pin_name="chanx_right_out"> + <driver_node type="OPIN" side="right" index="2" node_id="834" grid_side="bottom" sb_module_pin_name="right_left_grid_bottom_width_0_height_0_subtile_2__pin_inpad_0_"/> + <driver_node type="OPIN" side="right" index="5" node_id="837" grid_side="bottom" sb_module_pin_name="right_left_grid_bottom_width_0_height_0_subtile_5__pin_inpad_0_"/> + <driver_node type="OPIN" side="right" index="8" node_id="673" grid_side="top" sb_module_pin_name="right_left_grid_top_width_0_height_0_subtile_0__pin_O_2_"/> + <driver_node type="CHANY" side="bottom" index="4" node_id="1172" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="10" node_id="1180" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="16" node_id="1188" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="4" node_id="1000" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="12" node_id="1008" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANX side="right" index="18" node_id="1030" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_right_out"> + <driver_node type="CHANX" side="left" index="16" node_id="1030" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANX> + <CHANY side="bottom" index="1" node_id="1159" segment_id="0" segment_name="L4" mux_size="3" sb_module_pin_name="chany_bottom_out"> + <driver_node type="OPIN" side="bottom" index="0" node_id="676" grid_side="left" sb_module_pin_name="bottom_top_grid_left_width_0_height_0_subtile_0__pin_O_1_"/> + <driver_node type="CHANX" side="left" index="2" node_id="1020" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="14" node_id="1010" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="3" node_id="1173" segment_id="0" segment_name="L4" mux_size="3" sb_module_pin_name="chany_bottom_out"> + <driver_node type="OPIN" side="bottom" index="1" node_id="653" grid_side="right" sb_module_pin_name="bottom_top_grid_right_width_0_height_0_subtile_0__pin_O_3_"/> + <driver_node type="CHANX" side="left" index="4" node_id="1000" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="18" node_id="1024" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="5" node_id="1179" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANX" side="right" index="17" node_id="1031" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="left" index="8" node_id="1028" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="7" node_id="1185" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANX" side="right" index="13" node_id="1029" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="left" index="10" node_id="1022" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="9" node_id="1167" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANX" side="right" index="11" node_id="1023" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="left" index="12" node_id="1008" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="11" node_id="1175" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANX" side="right" index="9" node_id="1015" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="left" index="16" node_id="1030" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="13" node_id="1181" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANX" side="right" index="5" node_id="1027" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="19" node_id="1037" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANY> + <CHANY side="bottom" index="15" node_id="1187" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANX" side="right" index="3" node_id="1021" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="15" node_id="1035" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANY> + <CHANY side="bottom" index="17" node_id="1183" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANX" side="right" index="1" node_id="1007" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="7" node_id="1033" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANY> + <CHANY side="bottom" index="19" node_id="1189" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANX" side="left" index="0" node_id="1026" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="6" node_id="1002" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANX side="left" index="1" node_id="1005" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="1" node_id="1007" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="9" node_id="1015" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="17" node_id="1031" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="4" node_id="1172" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="10" node_id="1180" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="16" node_id="1188" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="OPIN" side="left" index="0" node_id="800" grid_side="bottom" sb_module_pin_name="left_right_grid_bottom_width_0_height_0_subtile_0__pin_inpad_0_"/> + <driver_node type="OPIN" side="left" index="3" node_id="803" grid_side="bottom" sb_module_pin_name="left_right_grid_bottom_width_0_height_0_subtile_3__pin_inpad_0_"/> + <driver_node type="OPIN" side="left" index="6" node_id="806" grid_side="bottom" sb_module_pin_name="left_right_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_"/> + </CHANX> + <CHANX side="left" index="3" node_id="1007" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="1" node_id="1007" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="5" node_id="1021" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="3" node_id="1021" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="7" node_id="1027" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="5" node_id="1027" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="9" node_id="1013" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="3" node_id="1021" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="11" node_id="1023" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="0" node_id="1184" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="6" node_id="1152" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="12" node_id="1174" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="18" node_id="1182" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="OPIN" side="left" index="1" node_id="801" grid_side="bottom" sb_module_pin_name="left_right_grid_bottom_width_0_height_0_subtile_1__pin_inpad_0_"/> + <driver_node type="OPIN" side="left" index="4" node_id="804" grid_side="bottom" sb_module_pin_name="left_right_grid_bottom_width_0_height_0_subtile_4__pin_inpad_0_"/> + <driver_node type="OPIN" side="left" index="7" node_id="807" grid_side="bottom" sb_module_pin_name="left_right_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_"/> + </CHANX> + <CHANX side="left" index="11" node_id="1015" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="9" node_id="1015" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="13" node_id="1023" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="11" node_id="1023" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="15" node_id="1029" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="13" node_id="1029" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> + <CHANX side="left" index="17" node_id="1025" segment_id="0" segment_name="L4" mux_size="8" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="5" node_id="1027" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANX" side="right" index="13" node_id="1029" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + <driver_node type="CHANY" side="bottom" index="2" node_id="1178" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="8" node_id="1186" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="14" node_id="1160" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="OPIN" side="left" index="2" node_id="802" grid_side="bottom" sb_module_pin_name="left_right_grid_bottom_width_0_height_0_subtile_2__pin_inpad_0_"/> + <driver_node type="OPIN" side="left" index="5" node_id="805" grid_side="bottom" sb_module_pin_name="left_right_grid_bottom_width_0_height_0_subtile_5__pin_inpad_0_"/> + <driver_node type="OPIN" side="left" index="8" node_id="652" grid_side="top" sb_module_pin_name="left_right_grid_top_width_0_height_0_subtile_0__pin_O_2_"/> + </CHANX> + <CHANX side="left" index="19" node_id="1031" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANX" side="right" index="17" node_id="1031" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_right_in"/> + </CHANX> +</rr_sb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_4__0_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_4__0_.xml new file mode 100644 index 000000000..bd9e172e7 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_4__0_.xml @@ -0,0 +1,80 @@ +<rr_sb x="4" y="0" num_sides="4"> + <CHANY side="top" index="0" node_id="1190" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="0" node_id="230" grid_side="right" sb_module_pin_name="top_bottom_grid_right_width_0_height_0_subtile_0__pin_O_3_"/> + <driver_node type="CHANX" side="left" index="0" node_id="880" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="2" node_id="1192" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="1" node_id="260" grid_side="left" sb_module_pin_name="top_bottom_grid_left_width_0_height_0_subtile_0__pin_inpad_0_"/> + <driver_node type="CHANX" side="left" index="18" node_id="878" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="4" node_id="1194" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="2" node_id="261" grid_side="left" sb_module_pin_name="top_bottom_grid_left_width_0_height_0_subtile_1__pin_inpad_0_"/> + <driver_node type="CHANX" side="left" index="16" node_id="884" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="6" node_id="1196" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="3" node_id="262" grid_side="left" sb_module_pin_name="top_bottom_grid_left_width_0_height_0_subtile_2__pin_inpad_0_"/> + <driver_node type="CHANX" side="left" index="14" node_id="856" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="8" node_id="1198" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="4" node_id="263" grid_side="left" sb_module_pin_name="top_bottom_grid_left_width_0_height_0_subtile_3__pin_inpad_0_"/> + <driver_node type="CHANX" side="left" index="12" node_id="870" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="10" node_id="1200" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="5" node_id="264" grid_side="left" sb_module_pin_name="top_bottom_grid_left_width_0_height_0_subtile_4__pin_inpad_0_"/> + <driver_node type="CHANX" side="left" index="10" node_id="876" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="12" node_id="1202" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="6" node_id="265" grid_side="left" sb_module_pin_name="top_bottom_grid_left_width_0_height_0_subtile_5__pin_inpad_0_"/> + <driver_node type="CHANX" side="left" index="8" node_id="882" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="14" node_id="1204" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="7" node_id="266" grid_side="left" sb_module_pin_name="top_bottom_grid_left_width_0_height_0_subtile_6__pin_inpad_0_"/> + <driver_node type="CHANX" side="left" index="6" node_id="848" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="16" node_id="1206" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="8" node_id="267" grid_side="left" sb_module_pin_name="top_bottom_grid_left_width_0_height_0_subtile_7__pin_inpad_0_"/> + <driver_node type="CHANX" side="left" index="4" node_id="868" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="18" node_id="1208" segment_id="0" segment_name="L4" mux_size="1" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANX" side="left" index="2" node_id="874" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANX side="left" index="1" node_id="855" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1191" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="left" index="0" node_id="231" grid_side="bottom" sb_module_pin_name="left_right_grid_bottom_width_0_height_0_subtile_0__pin_O_0_"/> + </CHANX> + <CHANX side="left" index="3" node_id="869" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="19" node_id="1209" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="left" index="1" node_id="112" grid_side="top" sb_module_pin_name="left_right_grid_top_width_0_height_0_subtile_0__pin_inpad_0_"/> + </CHANX> + <CHANX side="left" index="5" node_id="875" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="17" node_id="1207" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="left" index="2" node_id="113" grid_side="top" sb_module_pin_name="left_right_grid_top_width_0_height_0_subtile_1__pin_inpad_0_"/> + </CHANX> + <CHANX side="left" index="7" node_id="881" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="15" node_id="1205" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="left" index="3" node_id="114" grid_side="top" sb_module_pin_name="left_right_grid_top_width_0_height_0_subtile_2__pin_inpad_0_"/> + </CHANX> + <CHANX side="left" index="9" node_id="863" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="13" node_id="1203" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="left" index="4" node_id="115" grid_side="top" sb_module_pin_name="left_right_grid_top_width_0_height_0_subtile_3__pin_inpad_0_"/> + </CHANX> + <CHANX side="left" index="11" node_id="871" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="11" node_id="1201" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="left" index="5" node_id="116" grid_side="top" sb_module_pin_name="left_right_grid_top_width_0_height_0_subtile_4__pin_inpad_0_"/> + </CHANX> + <CHANX side="left" index="13" node_id="877" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="9" node_id="1199" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="left" index="6" node_id="117" grid_side="top" sb_module_pin_name="left_right_grid_top_width_0_height_0_subtile_5__pin_inpad_0_"/> + </CHANX> + <CHANX side="left" index="15" node_id="883" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="7" node_id="1197" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="left" index="7" node_id="118" grid_side="top" sb_module_pin_name="left_right_grid_top_width_0_height_0_subtile_6__pin_inpad_0_"/> + </CHANX> + <CHANX side="left" index="17" node_id="879" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1195" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="left" index="8" node_id="119" grid_side="top" sb_module_pin_name="left_right_grid_top_width_0_height_0_subtile_7__pin_inpad_0_"/> + </CHANX> + <CHANX side="left" index="19" node_id="885" segment_id="0" segment_name="L4" mux_size="1" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1193" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANX> +</rr_sb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_4__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_4__1_.xml new file mode 100644 index 000000000..37b4d5474 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_4__1_.xml @@ -0,0 +1,150 @@ +<rr_sb x="4" y="1" num_sides="4"> + <CHANY side="top" index="0" node_id="1210" segment_id="0" segment_name="L4" mux_size="10" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="0" node_id="378" grid_side="right" sb_module_pin_name="top_bottom_grid_right_width_0_height_0_subtile_0__pin_O_3_"/> + <driver_node type="OPIN" side="top" index="3" node_id="410" grid_side="left" sb_module_pin_name="top_bottom_grid_left_width_0_height_0_subtile_2__pin_inpad_0_"/> + <driver_node type="OPIN" side="top" index="6" node_id="413" grid_side="left" sb_module_pin_name="top_bottom_grid_left_width_0_height_0_subtile_5__pin_inpad_0_"/> + <driver_node type="CHANY" side="bottom" index="0" node_id="1190" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="8" node_id="1198" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="16" node_id="1206" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="0" node_id="918" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="6" node_id="886" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="12" node_id="908" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="18" node_id="916" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="2" node_id="1190" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="0" node_id="1190" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="4" node_id="1192" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="2" node_id="1192" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="6" node_id="1194" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="4" node_id="1194" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="8" node_id="1212" segment_id="0" segment_name="L4" mux_size="8" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="1" node_id="408" grid_side="left" sb_module_pin_name="top_bottom_grid_left_width_0_height_0_subtile_0__pin_inpad_0_"/> + <driver_node type="OPIN" side="top" index="4" node_id="411" grid_side="left" sb_module_pin_name="top_bottom_grid_left_width_0_height_0_subtile_3__pin_inpad_0_"/> + <driver_node type="OPIN" side="top" index="7" node_id="414" grid_side="left" sb_module_pin_name="top_bottom_grid_left_width_0_height_0_subtile_6__pin_inpad_0_"/> + <driver_node type="CHANY" side="bottom" index="2" node_id="1192" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="10" node_id="1200" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="4" node_id="906" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="10" node_id="914" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="16" node_id="922" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="10" node_id="1198" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="8" node_id="1198" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="12" node_id="1200" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="10" node_id="1200" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="14" node_id="1202" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="12" node_id="1202" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="16" node_id="1214" segment_id="0" segment_name="L4" mux_size="8" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="2" node_id="409" grid_side="left" sb_module_pin_name="top_bottom_grid_left_width_0_height_0_subtile_1__pin_inpad_0_"/> + <driver_node type="OPIN" side="top" index="5" node_id="412" grid_side="left" sb_module_pin_name="top_bottom_grid_left_width_0_height_0_subtile_4__pin_inpad_0_"/> + <driver_node type="OPIN" side="top" index="8" node_id="415" grid_side="left" sb_module_pin_name="top_bottom_grid_left_width_0_height_0_subtile_7__pin_inpad_0_"/> + <driver_node type="CHANY" side="bottom" index="4" node_id="1194" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="12" node_id="1202" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="2" node_id="912" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="8" node_id="920" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="14" node_id="894" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="18" node_id="1206" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="16" node_id="1206" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="bottom" index="1" node_id="1191" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1193" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="9" node_id="1201" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="17" node_id="1209" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="bottom" index="0" node_id="260" grid_side="left" sb_module_pin_name="bottom_top_grid_left_width_0_height_0_subtile_0__pin_inpad_0_"/> + <driver_node type="OPIN" side="bottom" index="3" node_id="263" grid_side="left" sb_module_pin_name="bottom_top_grid_left_width_0_height_0_subtile_3__pin_inpad_0_"/> + <driver_node type="OPIN" side="bottom" index="6" node_id="266" grid_side="left" sb_module_pin_name="bottom_top_grid_left_width_0_height_0_subtile_6__pin_inpad_0_"/> + <driver_node type="CHANX" side="left" index="2" node_id="912" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="8" node_id="920" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="14" node_id="894" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="3" node_id="1193" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1193" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="5" node_id="1195" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1195" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="7" node_id="1197" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1197" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="9" node_id="1199" segment_id="0" segment_name="L4" mux_size="8" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1195" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="11" node_id="1203" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="bottom" index="1" node_id="261" grid_side="left" sb_module_pin_name="bottom_top_grid_left_width_0_height_0_subtile_1__pin_inpad_0_"/> + <driver_node type="OPIN" side="bottom" index="4" node_id="264" grid_side="left" sb_module_pin_name="bottom_top_grid_left_width_0_height_0_subtile_4__pin_inpad_0_"/> + <driver_node type="OPIN" side="bottom" index="7" node_id="267" grid_side="left" sb_module_pin_name="bottom_top_grid_left_width_0_height_0_subtile_7__pin_inpad_0_"/> + <driver_node type="CHANX" side="left" index="4" node_id="906" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="10" node_id="914" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="16" node_id="922" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="11" node_id="1201" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="9" node_id="1201" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="13" node_id="1203" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="11" node_id="1203" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="15" node_id="1205" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="13" node_id="1205" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="17" node_id="1207" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1197" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="13" node_id="1205" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="bottom" index="2" node_id="262" grid_side="left" sb_module_pin_name="bottom_top_grid_left_width_0_height_0_subtile_2__pin_inpad_0_"/> + <driver_node type="OPIN" side="bottom" index="5" node_id="265" grid_side="left" sb_module_pin_name="bottom_top_grid_left_width_0_height_0_subtile_5__pin_inpad_0_"/> + <driver_node type="OPIN" side="bottom" index="8" node_id="230" grid_side="right" sb_module_pin_name="bottom_top_grid_right_width_0_height_0_subtile_0__pin_O_3_"/> + <driver_node type="CHANX" side="left" index="0" node_id="918" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="6" node_id="886" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="12" node_id="908" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="18" node_id="916" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="19" node_id="1209" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="17" node_id="1209" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANX side="left" index="1" node_id="893" segment_id="0" segment_name="L4" mux_size="3" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1193" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="7" node_id="1211" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="left" index="0" node_id="379" grid_side="bottom" sb_module_pin_name="left_right_grid_bottom_width_0_height_0_subtile_0__pin_O_0_"/> + </CHANX> + <CHANX side="left" index="3" node_id="907" segment_id="0" segment_name="L4" mux_size="3" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="bottom" index="0" node_id="1190" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="6" node_id="1196" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="OPIN" side="left" index="1" node_id="229" grid_side="top" sb_module_pin_name="left_right_grid_top_width_0_height_0_subtile_0__pin_O_2_"/> + </CHANX> + <CHANX side="left" index="5" node_id="913" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="bottom" index="2" node_id="1192" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="14" node_id="1204" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="left" index="7" node_id="919" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="bottom" index="4" node_id="1194" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="18" node_id="1208" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="left" index="9" node_id="901" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="17" node_id="1209" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="bottom" index="8" node_id="1198" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="left" index="11" node_id="909" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="13" node_id="1205" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="bottom" index="10" node_id="1200" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="left" index="13" node_id="915" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="11" node_id="1203" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="bottom" index="12" node_id="1202" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="left" index="15" node_id="921" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="9" node_id="1201" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="bottom" index="16" node_id="1206" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="left" index="17" node_id="917" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1197" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="19" node_id="1215" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANX> + <CHANX side="left" index="19" node_id="923" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1195" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="15" node_id="1213" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANX> +</rr_sb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_4__2_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_4__2_.xml new file mode 100644 index 000000000..f36ebf9dc --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_4__2_.xml @@ -0,0 +1,150 @@ +<rr_sb x="4" y="2" num_sides="4"> + <CHANY side="top" index="0" node_id="1216" segment_id="0" segment_name="L4" mux_size="10" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="0" node_id="526" grid_side="right" sb_module_pin_name="top_bottom_grid_right_width_0_height_0_subtile_0__pin_O_3_"/> + <driver_node type="OPIN" side="top" index="3" node_id="558" grid_side="left" sb_module_pin_name="top_bottom_grid_left_width_0_height_0_subtile_2__pin_inpad_0_"/> + <driver_node type="OPIN" side="top" index="6" node_id="561" grid_side="left" sb_module_pin_name="top_bottom_grid_left_width_0_height_0_subtile_5__pin_inpad_0_"/> + <driver_node type="CHANY" side="bottom" index="0" node_id="1210" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="8" node_id="1212" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="16" node_id="1214" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="0" node_id="956" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="6" node_id="924" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="12" node_id="946" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="18" node_id="954" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="2" node_id="1210" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="0" node_id="1210" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="4" node_id="1190" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="2" node_id="1190" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="6" node_id="1192" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="4" node_id="1192" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="8" node_id="1218" segment_id="0" segment_name="L4" mux_size="8" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="1" node_id="556" grid_side="left" sb_module_pin_name="top_bottom_grid_left_width_0_height_0_subtile_0__pin_inpad_0_"/> + <driver_node type="OPIN" side="top" index="4" node_id="559" grid_side="left" sb_module_pin_name="top_bottom_grid_left_width_0_height_0_subtile_3__pin_inpad_0_"/> + <driver_node type="OPIN" side="top" index="7" node_id="562" grid_side="left" sb_module_pin_name="top_bottom_grid_left_width_0_height_0_subtile_6__pin_inpad_0_"/> + <driver_node type="CHANY" side="bottom" index="2" node_id="1190" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="10" node_id="1198" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="4" node_id="944" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="10" node_id="952" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="16" node_id="960" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="10" node_id="1212" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="8" node_id="1212" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="12" node_id="1198" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="10" node_id="1198" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="14" node_id="1200" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="12" node_id="1200" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="16" node_id="1220" segment_id="0" segment_name="L4" mux_size="8" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="2" node_id="557" grid_side="left" sb_module_pin_name="top_bottom_grid_left_width_0_height_0_subtile_1__pin_inpad_0_"/> + <driver_node type="OPIN" side="top" index="5" node_id="560" grid_side="left" sb_module_pin_name="top_bottom_grid_left_width_0_height_0_subtile_4__pin_inpad_0_"/> + <driver_node type="OPIN" side="top" index="8" node_id="563" grid_side="left" sb_module_pin_name="top_bottom_grid_left_width_0_height_0_subtile_7__pin_inpad_0_"/> + <driver_node type="CHANY" side="bottom" index="4" node_id="1192" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="12" node_id="1200" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="2" node_id="950" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="8" node_id="958" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="14" node_id="932" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="18" node_id="1214" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="16" node_id="1214" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="bottom" index="1" node_id="1193" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1195" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="9" node_id="1203" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="17" node_id="1215" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="bottom" index="0" node_id="408" grid_side="left" sb_module_pin_name="bottom_top_grid_left_width_0_height_0_subtile_0__pin_inpad_0_"/> + <driver_node type="OPIN" side="bottom" index="3" node_id="411" grid_side="left" sb_module_pin_name="bottom_top_grid_left_width_0_height_0_subtile_3__pin_inpad_0_"/> + <driver_node type="OPIN" side="bottom" index="6" node_id="414" grid_side="left" sb_module_pin_name="bottom_top_grid_left_width_0_height_0_subtile_6__pin_inpad_0_"/> + <driver_node type="CHANX" side="left" index="2" node_id="950" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="8" node_id="958" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="14" node_id="932" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="3" node_id="1195" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1195" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="5" node_id="1197" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1197" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="7" node_id="1211" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1211" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="9" node_id="1201" segment_id="0" segment_name="L4" mux_size="8" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1197" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="11" node_id="1205" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="bottom" index="1" node_id="409" grid_side="left" sb_module_pin_name="bottom_top_grid_left_width_0_height_0_subtile_1__pin_inpad_0_"/> + <driver_node type="OPIN" side="bottom" index="4" node_id="412" grid_side="left" sb_module_pin_name="bottom_top_grid_left_width_0_height_0_subtile_4__pin_inpad_0_"/> + <driver_node type="OPIN" side="bottom" index="7" node_id="415" grid_side="left" sb_module_pin_name="bottom_top_grid_left_width_0_height_0_subtile_7__pin_inpad_0_"/> + <driver_node type="CHANX" side="left" index="4" node_id="944" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="10" node_id="952" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="16" node_id="960" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="11" node_id="1203" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="9" node_id="1203" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="13" node_id="1205" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="11" node_id="1205" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="15" node_id="1213" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="13" node_id="1213" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="17" node_id="1209" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1211" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="13" node_id="1213" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="bottom" index="2" node_id="410" grid_side="left" sb_module_pin_name="bottom_top_grid_left_width_0_height_0_subtile_2__pin_inpad_0_"/> + <driver_node type="OPIN" side="bottom" index="5" node_id="413" grid_side="left" sb_module_pin_name="bottom_top_grid_left_width_0_height_0_subtile_5__pin_inpad_0_"/> + <driver_node type="OPIN" side="bottom" index="8" node_id="378" grid_side="right" sb_module_pin_name="bottom_top_grid_right_width_0_height_0_subtile_0__pin_O_3_"/> + <driver_node type="CHANX" side="left" index="0" node_id="956" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="6" node_id="924" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="12" node_id="946" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="18" node_id="954" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="19" node_id="1215" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="17" node_id="1215" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANX side="left" index="1" node_id="931" segment_id="0" segment_name="L4" mux_size="3" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1195" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="7" node_id="1217" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="left" index="0" node_id="527" grid_side="bottom" sb_module_pin_name="left_right_grid_bottom_width_0_height_0_subtile_0__pin_O_0_"/> + </CHANX> + <CHANX side="left" index="3" node_id="945" segment_id="0" segment_name="L4" mux_size="3" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="bottom" index="0" node_id="1210" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="6" node_id="1194" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="OPIN" side="left" index="1" node_id="377" grid_side="top" sb_module_pin_name="left_right_grid_top_width_0_height_0_subtile_0__pin_O_2_"/> + </CHANX> + <CHANX side="left" index="5" node_id="951" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="bottom" index="2" node_id="1190" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="14" node_id="1202" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="left" index="7" node_id="957" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="bottom" index="4" node_id="1192" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="18" node_id="1206" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="left" index="9" node_id="939" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="17" node_id="1215" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="bottom" index="8" node_id="1212" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="left" index="11" node_id="947" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="13" node_id="1213" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="bottom" index="10" node_id="1198" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="left" index="13" node_id="953" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="11" node_id="1205" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="bottom" index="12" node_id="1200" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="left" index="15" node_id="959" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="9" node_id="1203" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="bottom" index="16" node_id="1214" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="left" index="17" node_id="955" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1211" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="19" node_id="1221" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANX> + <CHANX side="left" index="19" node_id="961" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1197" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="15" node_id="1219" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANX> +</rr_sb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_4__3_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_4__3_.xml new file mode 100644 index 000000000..9d949aba7 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_4__3_.xml @@ -0,0 +1,150 @@ +<rr_sb x="4" y="3" num_sides="4"> + <CHANY side="top" index="0" node_id="1222" segment_id="0" segment_name="L4" mux_size="10" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="0" node_id="674" grid_side="right" sb_module_pin_name="top_bottom_grid_right_width_0_height_0_subtile_0__pin_O_3_"/> + <driver_node type="OPIN" side="top" index="3" node_id="706" grid_side="left" sb_module_pin_name="top_bottom_grid_left_width_0_height_0_subtile_2__pin_inpad_0_"/> + <driver_node type="OPIN" side="top" index="6" node_id="709" grid_side="left" sb_module_pin_name="top_bottom_grid_left_width_0_height_0_subtile_5__pin_inpad_0_"/> + <driver_node type="CHANY" side="bottom" index="0" node_id="1216" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="8" node_id="1218" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="16" node_id="1220" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="0" node_id="994" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="6" node_id="962" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="12" node_id="984" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="18" node_id="992" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="2" node_id="1216" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="0" node_id="1216" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="4" node_id="1210" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="2" node_id="1210" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="6" node_id="1190" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="4" node_id="1190" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="8" node_id="1224" segment_id="0" segment_name="L4" mux_size="8" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="1" node_id="704" grid_side="left" sb_module_pin_name="top_bottom_grid_left_width_0_height_0_subtile_0__pin_inpad_0_"/> + <driver_node type="OPIN" side="top" index="4" node_id="707" grid_side="left" sb_module_pin_name="top_bottom_grid_left_width_0_height_0_subtile_3__pin_inpad_0_"/> + <driver_node type="OPIN" side="top" index="7" node_id="710" grid_side="left" sb_module_pin_name="top_bottom_grid_left_width_0_height_0_subtile_6__pin_inpad_0_"/> + <driver_node type="CHANY" side="bottom" index="2" node_id="1210" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="10" node_id="1212" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="4" node_id="982" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="10" node_id="990" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="16" node_id="998" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="10" node_id="1218" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="8" node_id="1218" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="12" node_id="1212" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="10" node_id="1212" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="14" node_id="1198" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="12" node_id="1198" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="top" index="16" node_id="1226" segment_id="0" segment_name="L4" mux_size="8" sb_module_pin_name="chany_top_out"> + <driver_node type="OPIN" side="top" index="2" node_id="705" grid_side="left" sb_module_pin_name="top_bottom_grid_left_width_0_height_0_subtile_1__pin_inpad_0_"/> + <driver_node type="OPIN" side="top" index="5" node_id="708" grid_side="left" sb_module_pin_name="top_bottom_grid_left_width_0_height_0_subtile_4__pin_inpad_0_"/> + <driver_node type="OPIN" side="top" index="8" node_id="711" grid_side="left" sb_module_pin_name="top_bottom_grid_left_width_0_height_0_subtile_7__pin_inpad_0_"/> + <driver_node type="CHANY" side="bottom" index="4" node_id="1190" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="12" node_id="1198" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANX" side="left" index="2" node_id="988" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="8" node_id="996" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="14" node_id="970" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="top" index="18" node_id="1220" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_top_out"> + <driver_node type="CHANY" side="bottom" index="16" node_id="1220" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANY> + <CHANY side="bottom" index="1" node_id="1195" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1197" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="9" node_id="1205" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="17" node_id="1221" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="bottom" index="0" node_id="556" grid_side="left" sb_module_pin_name="bottom_top_grid_left_width_0_height_0_subtile_0__pin_inpad_0_"/> + <driver_node type="OPIN" side="bottom" index="3" node_id="559" grid_side="left" sb_module_pin_name="bottom_top_grid_left_width_0_height_0_subtile_3__pin_inpad_0_"/> + <driver_node type="OPIN" side="bottom" index="6" node_id="562" grid_side="left" sb_module_pin_name="bottom_top_grid_left_width_0_height_0_subtile_6__pin_inpad_0_"/> + <driver_node type="CHANX" side="left" index="2" node_id="988" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="8" node_id="996" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="14" node_id="970" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="3" node_id="1197" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1197" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="5" node_id="1211" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1211" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="7" node_id="1217" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1217" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="9" node_id="1203" segment_id="0" segment_name="L4" mux_size="8" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1211" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="11" node_id="1213" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="bottom" index="1" node_id="557" grid_side="left" sb_module_pin_name="bottom_top_grid_left_width_0_height_0_subtile_1__pin_inpad_0_"/> + <driver_node type="OPIN" side="bottom" index="4" node_id="560" grid_side="left" sb_module_pin_name="bottom_top_grid_left_width_0_height_0_subtile_4__pin_inpad_0_"/> + <driver_node type="OPIN" side="bottom" index="7" node_id="563" grid_side="left" sb_module_pin_name="bottom_top_grid_left_width_0_height_0_subtile_7__pin_inpad_0_"/> + <driver_node type="CHANX" side="left" index="4" node_id="982" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="10" node_id="990" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="16" node_id="998" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="11" node_id="1205" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="9" node_id="1205" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="13" node_id="1213" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="11" node_id="1213" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="15" node_id="1219" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="13" node_id="1219" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANY side="bottom" index="17" node_id="1215" segment_id="0" segment_name="L4" mux_size="9" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1217" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="13" node_id="1219" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="bottom" index="2" node_id="558" grid_side="left" sb_module_pin_name="bottom_top_grid_left_width_0_height_0_subtile_2__pin_inpad_0_"/> + <driver_node type="OPIN" side="bottom" index="5" node_id="561" grid_side="left" sb_module_pin_name="bottom_top_grid_left_width_0_height_0_subtile_5__pin_inpad_0_"/> + <driver_node type="OPIN" side="bottom" index="8" node_id="526" grid_side="right" sb_module_pin_name="bottom_top_grid_right_width_0_height_0_subtile_0__pin_O_3_"/> + <driver_node type="CHANX" side="left" index="0" node_id="994" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="6" node_id="962" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="12" node_id="984" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + <driver_node type="CHANX" side="left" index="18" node_id="992" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="19" node_id="1221" segment_id="0" segment_name="L4" mux_size="0" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANY" side="top" index="17" node_id="1221" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANY> + <CHANX side="left" index="1" node_id="969" segment_id="0" segment_name="L4" mux_size="3" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="1" node_id="1197" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="7" node_id="1223" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="OPIN" side="left" index="0" node_id="675" grid_side="bottom" sb_module_pin_name="left_right_grid_bottom_width_0_height_0_subtile_0__pin_O_0_"/> + </CHANX> + <CHANX side="left" index="3" node_id="983" segment_id="0" segment_name="L4" mux_size="3" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="bottom" index="0" node_id="1216" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="6" node_id="1192" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="OPIN" side="left" index="1" node_id="525" grid_side="top" sb_module_pin_name="left_right_grid_top_width_0_height_0_subtile_0__pin_O_2_"/> + </CHANX> + <CHANX side="left" index="5" node_id="989" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="bottom" index="2" node_id="1210" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="14" node_id="1200" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="left" index="7" node_id="995" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="bottom" index="4" node_id="1190" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="CHANY" side="bottom" index="18" node_id="1214" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="left" index="9" node_id="977" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="17" node_id="1221" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="bottom" index="8" node_id="1218" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="left" index="11" node_id="985" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="13" node_id="1219" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="bottom" index="10" node_id="1212" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="left" index="13" node_id="991" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="11" node_id="1213" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="bottom" index="12" node_id="1198" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="left" index="15" node_id="997" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="9" node_id="1205" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="bottom" index="16" node_id="1220" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> + <CHANX side="left" index="17" node_id="993" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="5" node_id="1217" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="19" node_id="1227" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANX> + <CHANX side="left" index="19" node_id="999" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="top" index="3" node_id="1211" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + <driver_node type="CHANY" side="top" index="15" node_id="1225" segment_id="0" segment_name="L4" sb_module_pin_name="chany_top_in"/> + </CHANX> +</rr_sb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_4__4_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_4__4_.xml new file mode 100644 index 000000000..9ebabf7f5 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/sb_4__4_.xml @@ -0,0 +1,80 @@ +<rr_sb x="4" y="4" num_sides="4"> + <CHANY side="bottom" index="1" node_id="1197" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_bottom_out"> + <driver_node type="OPIN" side="bottom" index="0" node_id="704" grid_side="left" sb_module_pin_name="bottom_top_grid_left_width_0_height_0_subtile_0__pin_inpad_0_"/> + <driver_node type="CHANX" side="left" index="2" node_id="1026" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="3" node_id="1211" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_bottom_out"> + <driver_node type="OPIN" side="bottom" index="1" node_id="705" grid_side="left" sb_module_pin_name="bottom_top_grid_left_width_0_height_0_subtile_1__pin_inpad_0_"/> + <driver_node type="CHANX" side="left" index="4" node_id="1020" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="5" node_id="1217" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_bottom_out"> + <driver_node type="OPIN" side="bottom" index="2" node_id="706" grid_side="left" sb_module_pin_name="bottom_top_grid_left_width_0_height_0_subtile_2__pin_inpad_0_"/> + <driver_node type="CHANX" side="left" index="6" node_id="1000" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="7" node_id="1223" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_bottom_out"> + <driver_node type="OPIN" side="bottom" index="3" node_id="707" grid_side="left" sb_module_pin_name="bottom_top_grid_left_width_0_height_0_subtile_3__pin_inpad_0_"/> + <driver_node type="CHANX" side="left" index="8" node_id="1034" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="9" node_id="1205" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_bottom_out"> + <driver_node type="OPIN" side="bottom" index="4" node_id="708" grid_side="left" sb_module_pin_name="bottom_top_grid_left_width_0_height_0_subtile_4__pin_inpad_0_"/> + <driver_node type="CHANX" side="left" index="10" node_id="1028" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="11" node_id="1213" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_bottom_out"> + <driver_node type="OPIN" side="bottom" index="5" node_id="709" grid_side="left" sb_module_pin_name="bottom_top_grid_left_width_0_height_0_subtile_5__pin_inpad_0_"/> + <driver_node type="CHANX" side="left" index="12" node_id="1022" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="13" node_id="1219" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_bottom_out"> + <driver_node type="OPIN" side="bottom" index="6" node_id="710" grid_side="left" sb_module_pin_name="bottom_top_grid_left_width_0_height_0_subtile_6__pin_inpad_0_"/> + <driver_node type="CHANX" side="left" index="14" node_id="1008" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="15" node_id="1225" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_bottom_out"> + <driver_node type="OPIN" side="bottom" index="7" node_id="711" grid_side="left" sb_module_pin_name="bottom_top_grid_left_width_0_height_0_subtile_7__pin_inpad_0_"/> + <driver_node type="CHANX" side="left" index="16" node_id="1036" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="17" node_id="1221" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chany_bottom_out"> + <driver_node type="OPIN" side="bottom" index="8" node_id="674" grid_side="right" sb_module_pin_name="bottom_top_grid_right_width_0_height_0_subtile_0__pin_O_3_"/> + <driver_node type="CHANX" side="left" index="18" node_id="1030" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANY side="bottom" index="19" node_id="1227" segment_id="0" segment_name="L4" mux_size="1" sb_module_pin_name="chany_bottom_out"> + <driver_node type="CHANX" side="left" index="0" node_id="1032" segment_id="0" segment_name="L4" sb_module_pin_name="chanx_left_in"/> + </CHANY> + <CHANX side="left" index="1" node_id="1007" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="bottom" index="18" node_id="1220" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="OPIN" side="left" index="0" node_id="832" grid_side="bottom" sb_module_pin_name="left_right_grid_bottom_width_0_height_0_subtile_0__pin_inpad_0_"/> + </CHANX> + <CHANX side="left" index="3" node_id="1021" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="bottom" index="0" node_id="1222" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="OPIN" side="left" index="1" node_id="833" grid_side="bottom" sb_module_pin_name="left_right_grid_bottom_width_0_height_0_subtile_1__pin_inpad_0_"/> + </CHANX> + <CHANX side="left" index="5" node_id="1027" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="bottom" index="2" node_id="1216" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="OPIN" side="left" index="2" node_id="834" grid_side="bottom" sb_module_pin_name="left_right_grid_bottom_width_0_height_0_subtile_2__pin_inpad_0_"/> + </CHANX> + <CHANX side="left" index="7" node_id="1033" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="bottom" index="4" node_id="1210" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="OPIN" side="left" index="3" node_id="835" grid_side="bottom" sb_module_pin_name="left_right_grid_bottom_width_0_height_0_subtile_3__pin_inpad_0_"/> + </CHANX> + <CHANX side="left" index="9" node_id="1015" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="bottom" index="6" node_id="1190" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="OPIN" side="left" index="4" node_id="836" grid_side="bottom" sb_module_pin_name="left_right_grid_bottom_width_0_height_0_subtile_4__pin_inpad_0_"/> + </CHANX> + <CHANX side="left" index="11" node_id="1023" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="bottom" index="8" node_id="1224" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="OPIN" side="left" index="5" node_id="837" grid_side="bottom" sb_module_pin_name="left_right_grid_bottom_width_0_height_0_subtile_5__pin_inpad_0_"/> + </CHANX> + <CHANX side="left" index="13" node_id="1029" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="bottom" index="10" node_id="1218" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="OPIN" side="left" index="6" node_id="838" grid_side="bottom" sb_module_pin_name="left_right_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_"/> + </CHANX> + <CHANX side="left" index="15" node_id="1035" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="bottom" index="12" node_id="1212" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="OPIN" side="left" index="7" node_id="839" grid_side="bottom" sb_module_pin_name="left_right_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_"/> + </CHANX> + <CHANX side="left" index="17" node_id="1031" segment_id="0" segment_name="L4" mux_size="2" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="bottom" index="14" node_id="1198" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + <driver_node type="OPIN" side="left" index="8" node_id="673" grid_side="top" sb_module_pin_name="left_right_grid_top_width_0_height_0_subtile_0__pin_O_2_"/> + </CHANX> + <CHANX side="left" index="19" node_id="1037" segment_id="0" segment_name="L4" mux_size="1" sb_module_pin_name="chanx_left_out"> + <driver_node type="CHANY" side="bottom" index="16" node_id="1226" segment_id="0" segment_name="L4" sb_module_pin_name="chany_bottom_in"/> + </CHANX> +</rr_sb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_0__0_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_0__0_.xml new file mode 100644 index 000000000..88ecd4adb --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_0__0_.xml @@ -0,0 +1,2 @@ +<rr_cb x="0" y="0" num_sides="4"> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_0__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_0__1_.xml new file mode 100644 index 000000000..e65930df0 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_0__1_.xml @@ -0,0 +1,2 @@ +<rr_cb x="0" y="1" num_sides="4"> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_0__2_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_0__2_.xml new file mode 100644 index 000000000..f0437dd4b --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_0__2_.xml @@ -0,0 +1,2 @@ +<rr_cb x="0" y="2" num_sides="4"> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_0__3_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_0__3_.xml new file mode 100644 index 000000000..8ef6fb83d --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_0__3_.xml @@ -0,0 +1,2 @@ +<rr_cb x="0" y="3" num_sides="4"> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_0__4_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_0__4_.xml new file mode 100644 index 000000000..a5cc9e63d --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_0__4_.xml @@ -0,0 +1,2 @@ +<rr_cb x="0" y="4" num_sides="4"> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_1__0_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_1__0_.xml new file mode 100644 index 000000000..c4502cf70 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_1__0_.xml @@ -0,0 +1,66 @@ +<rr_cb x="1" y="0" num_sides="4"> + <IPIN side="top" index="0" mux_size="4"> + <driver_node type="CHANX" side="left" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" index="11" segment_id="0"/> + </IPIN> + <IPIN side="top" index="1" mux_size="2"> + <driver_node type="CHANX" side="left" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" index="3" segment_id="0"/> + </IPIN> + <IPIN side="top" index="2" mux_size="4"> + <driver_node type="CHANX" side="left" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" index="15" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="0" mux_size="4"> + <driver_node type="CHANX" side="left" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" index="17" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="1" mux_size="4"> + <driver_node type="CHANX" side="left" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" index="19" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="2" mux_size="4"> + <driver_node type="CHANX" side="left" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" index="11" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="3" mux_size="4"> + <driver_node type="CHANX" side="left" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" index="3" segment_id="0"/> + <driver_node type="CHANX" side="left" index="12" segment_id="0"/> + <driver_node type="CHANX" side="left" index="13" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="4" mux_size="4"> + <driver_node type="CHANX" side="left" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" index="15" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="5" mux_size="4"> + <driver_node type="CHANX" side="left" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" index="17" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="6" mux_size="4"> + <driver_node type="CHANX" side="left" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" index="19" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="7" mux_size="4"> + <driver_node type="CHANX" side="left" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" index="11" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_1__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_1__1_.xml new file mode 100644 index 000000000..14170c952 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_1__1_.xml @@ -0,0 +1,34 @@ +<rr_cb x="1" y="1" num_sides="4"> + <IPIN side="top" index="0" mux_size="4"> + <driver_node type="CHANX" side="left" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" index="11" segment_id="0"/> + </IPIN> + <IPIN side="top" index="1" mux_size="2"> + <driver_node type="CHANX" side="left" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" index="3" segment_id="0"/> + </IPIN> + <IPIN side="top" index="2" mux_size="4"> + <driver_node type="CHANX" side="left" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" index="15" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="0" mux_size="4"> + <driver_node type="CHANX" side="left" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" index="17" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="1" mux_size="4"> + <driver_node type="CHANX" side="left" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" index="19" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="2" mux_size="2"> + <driver_node type="CHANX" side="left" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" index="11" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_1__2_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_1__2_.xml new file mode 100644 index 000000000..10de05705 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_1__2_.xml @@ -0,0 +1,34 @@ +<rr_cb x="1" y="2" num_sides="4"> + <IPIN side="top" index="0" mux_size="4"> + <driver_node type="CHANX" side="left" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" index="11" segment_id="0"/> + </IPIN> + <IPIN side="top" index="1" mux_size="2"> + <driver_node type="CHANX" side="left" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" index="3" segment_id="0"/> + </IPIN> + <IPIN side="top" index="2" mux_size="4"> + <driver_node type="CHANX" side="left" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" index="15" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="0" mux_size="4"> + <driver_node type="CHANX" side="left" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" index="17" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="1" mux_size="4"> + <driver_node type="CHANX" side="left" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" index="19" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="2" mux_size="2"> + <driver_node type="CHANX" side="left" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" index="11" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_1__3_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_1__3_.xml new file mode 100644 index 000000000..b14240c54 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_1__3_.xml @@ -0,0 +1,34 @@ +<rr_cb x="1" y="3" num_sides="4"> + <IPIN side="top" index="0" mux_size="4"> + <driver_node type="CHANX" side="left" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" index="11" segment_id="0"/> + </IPIN> + <IPIN side="top" index="1" mux_size="2"> + <driver_node type="CHANX" side="left" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" index="3" segment_id="0"/> + </IPIN> + <IPIN side="top" index="2" mux_size="4"> + <driver_node type="CHANX" side="left" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" index="15" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="0" mux_size="4"> + <driver_node type="CHANX" side="left" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" index="17" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="1" mux_size="4"> + <driver_node type="CHANX" side="left" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" index="19" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="2" mux_size="2"> + <driver_node type="CHANX" side="left" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" index="11" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_1__4_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_1__4_.xml new file mode 100644 index 000000000..f1550a6bd --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_1__4_.xml @@ -0,0 +1,66 @@ +<rr_cb x="1" y="4" num_sides="4"> + <IPIN side="top" index="0" mux_size="4"> + <driver_node type="CHANX" side="left" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" index="11" segment_id="0"/> + </IPIN> + <IPIN side="top" index="1" mux_size="4"> + <driver_node type="CHANX" side="left" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" index="3" segment_id="0"/> + <driver_node type="CHANX" side="left" index="12" segment_id="0"/> + <driver_node type="CHANX" side="left" index="13" segment_id="0"/> + </IPIN> + <IPIN side="top" index="2" mux_size="4"> + <driver_node type="CHANX" side="left" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" index="15" segment_id="0"/> + </IPIN> + <IPIN side="top" index="3" mux_size="4"> + <driver_node type="CHANX" side="left" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" index="17" segment_id="0"/> + </IPIN> + <IPIN side="top" index="4" mux_size="4"> + <driver_node type="CHANX" side="left" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" index="19" segment_id="0"/> + </IPIN> + <IPIN side="top" index="5" mux_size="4"> + <driver_node type="CHANX" side="left" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" index="11" segment_id="0"/> + </IPIN> + <IPIN side="top" index="6" mux_size="4"> + <driver_node type="CHANX" side="left" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" index="3" segment_id="0"/> + <driver_node type="CHANX" side="left" index="12" segment_id="0"/> + <driver_node type="CHANX" side="left" index="13" segment_id="0"/> + </IPIN> + <IPIN side="top" index="7" mux_size="4"> + <driver_node type="CHANX" side="left" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" index="15" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="0" mux_size="4"> + <driver_node type="CHANX" side="left" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" index="17" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="1" mux_size="4"> + <driver_node type="CHANX" side="left" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" index="19" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="2" mux_size="2"> + <driver_node type="CHANX" side="left" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" index="1" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_2__0_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_2__0_.xml new file mode 100644 index 000000000..a03e3bede --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_2__0_.xml @@ -0,0 +1,66 @@ +<rr_cb x="2" y="0" num_sides="4"> + <IPIN side="top" index="0" mux_size="4"> + <driver_node type="CHANX" side="left" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" index="11" segment_id="0"/> + </IPIN> + <IPIN side="top" index="1" mux_size="2"> + <driver_node type="CHANX" side="left" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" index="3" segment_id="0"/> + </IPIN> + <IPIN side="top" index="2" mux_size="4"> + <driver_node type="CHANX" side="left" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" index="15" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="0" mux_size="4"> + <driver_node type="CHANX" side="left" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" index="17" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="1" mux_size="4"> + <driver_node type="CHANX" side="left" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" index="19" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="2" mux_size="4"> + <driver_node type="CHANX" side="left" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" index="11" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="3" mux_size="4"> + <driver_node type="CHANX" side="left" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" index="3" segment_id="0"/> + <driver_node type="CHANX" side="left" index="12" segment_id="0"/> + <driver_node type="CHANX" side="left" index="13" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="4" mux_size="4"> + <driver_node type="CHANX" side="left" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" index="15" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="5" mux_size="4"> + <driver_node type="CHANX" side="left" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" index="17" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="6" mux_size="4"> + <driver_node type="CHANX" side="left" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" index="19" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="7" mux_size="4"> + <driver_node type="CHANX" side="left" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" index="11" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_2__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_2__1_.xml new file mode 100644 index 000000000..a2ab6a3b4 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_2__1_.xml @@ -0,0 +1,34 @@ +<rr_cb x="2" y="1" num_sides="4"> + <IPIN side="top" index="0" mux_size="4"> + <driver_node type="CHANX" side="left" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" index="11" segment_id="0"/> + </IPIN> + <IPIN side="top" index="1" mux_size="2"> + <driver_node type="CHANX" side="left" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" index="3" segment_id="0"/> + </IPIN> + <IPIN side="top" index="2" mux_size="4"> + <driver_node type="CHANX" side="left" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" index="15" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="0" mux_size="4"> + <driver_node type="CHANX" side="left" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" index="17" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="1" mux_size="4"> + <driver_node type="CHANX" side="left" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" index="19" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="2" mux_size="2"> + <driver_node type="CHANX" side="left" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" index="11" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_2__2_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_2__2_.xml new file mode 100644 index 000000000..68b8692e8 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_2__2_.xml @@ -0,0 +1,34 @@ +<rr_cb x="2" y="2" num_sides="4"> + <IPIN side="top" index="0" mux_size="4"> + <driver_node type="CHANX" side="left" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" index="11" segment_id="0"/> + </IPIN> + <IPIN side="top" index="1" mux_size="2"> + <driver_node type="CHANX" side="left" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" index="3" segment_id="0"/> + </IPIN> + <IPIN side="top" index="2" mux_size="4"> + <driver_node type="CHANX" side="left" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" index="15" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="0" mux_size="4"> + <driver_node type="CHANX" side="left" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" index="17" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="1" mux_size="4"> + <driver_node type="CHANX" side="left" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" index="19" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="2" mux_size="2"> + <driver_node type="CHANX" side="left" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" index="11" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_2__3_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_2__3_.xml new file mode 100644 index 000000000..daf796cfd --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_2__3_.xml @@ -0,0 +1,34 @@ +<rr_cb x="2" y="3" num_sides="4"> + <IPIN side="top" index="0" mux_size="4"> + <driver_node type="CHANX" side="left" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" index="11" segment_id="0"/> + </IPIN> + <IPIN side="top" index="1" mux_size="2"> + <driver_node type="CHANX" side="left" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" index="3" segment_id="0"/> + </IPIN> + <IPIN side="top" index="2" mux_size="4"> + <driver_node type="CHANX" side="left" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" index="15" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="0" mux_size="4"> + <driver_node type="CHANX" side="left" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" index="17" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="1" mux_size="4"> + <driver_node type="CHANX" side="left" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" index="19" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="2" mux_size="2"> + <driver_node type="CHANX" side="left" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" index="11" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_2__4_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_2__4_.xml new file mode 100644 index 000000000..718037bc7 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_2__4_.xml @@ -0,0 +1,66 @@ +<rr_cb x="2" y="4" num_sides="4"> + <IPIN side="top" index="0" mux_size="4"> + <driver_node type="CHANX" side="left" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" index="11" segment_id="0"/> + </IPIN> + <IPIN side="top" index="1" mux_size="4"> + <driver_node type="CHANX" side="left" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" index="3" segment_id="0"/> + <driver_node type="CHANX" side="left" index="12" segment_id="0"/> + <driver_node type="CHANX" side="left" index="13" segment_id="0"/> + </IPIN> + <IPIN side="top" index="2" mux_size="4"> + <driver_node type="CHANX" side="left" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" index="15" segment_id="0"/> + </IPIN> + <IPIN side="top" index="3" mux_size="4"> + <driver_node type="CHANX" side="left" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" index="17" segment_id="0"/> + </IPIN> + <IPIN side="top" index="4" mux_size="4"> + <driver_node type="CHANX" side="left" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" index="19" segment_id="0"/> + </IPIN> + <IPIN side="top" index="5" mux_size="4"> + <driver_node type="CHANX" side="left" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" index="11" segment_id="0"/> + </IPIN> + <IPIN side="top" index="6" mux_size="4"> + <driver_node type="CHANX" side="left" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" index="3" segment_id="0"/> + <driver_node type="CHANX" side="left" index="12" segment_id="0"/> + <driver_node type="CHANX" side="left" index="13" segment_id="0"/> + </IPIN> + <IPIN side="top" index="7" mux_size="4"> + <driver_node type="CHANX" side="left" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" index="15" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="0" mux_size="4"> + <driver_node type="CHANX" side="left" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" index="17" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="1" mux_size="4"> + <driver_node type="CHANX" side="left" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" index="19" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="2" mux_size="2"> + <driver_node type="CHANX" side="left" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" index="1" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_3__0_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_3__0_.xml new file mode 100644 index 000000000..cb68d5ab5 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_3__0_.xml @@ -0,0 +1,66 @@ +<rr_cb x="3" y="0" num_sides="4"> + <IPIN side="top" index="0" mux_size="4"> + <driver_node type="CHANX" side="left" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" index="11" segment_id="0"/> + </IPIN> + <IPIN side="top" index="1" mux_size="2"> + <driver_node type="CHANX" side="left" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" index="3" segment_id="0"/> + </IPIN> + <IPIN side="top" index="2" mux_size="4"> + <driver_node type="CHANX" side="left" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" index="15" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="0" mux_size="4"> + <driver_node type="CHANX" side="left" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" index="17" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="1" mux_size="4"> + <driver_node type="CHANX" side="left" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" index="19" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="2" mux_size="4"> + <driver_node type="CHANX" side="left" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" index="11" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="3" mux_size="4"> + <driver_node type="CHANX" side="left" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" index="3" segment_id="0"/> + <driver_node type="CHANX" side="left" index="12" segment_id="0"/> + <driver_node type="CHANX" side="left" index="13" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="4" mux_size="4"> + <driver_node type="CHANX" side="left" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" index="15" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="5" mux_size="4"> + <driver_node type="CHANX" side="left" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" index="17" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="6" mux_size="4"> + <driver_node type="CHANX" side="left" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" index="19" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="7" mux_size="4"> + <driver_node type="CHANX" side="left" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" index="11" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_3__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_3__1_.xml new file mode 100644 index 000000000..e0d7060a0 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_3__1_.xml @@ -0,0 +1,34 @@ +<rr_cb x="3" y="1" num_sides="4"> + <IPIN side="top" index="0" mux_size="4"> + <driver_node type="CHANX" side="left" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" index="11" segment_id="0"/> + </IPIN> + <IPIN side="top" index="1" mux_size="2"> + <driver_node type="CHANX" side="left" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" index="3" segment_id="0"/> + </IPIN> + <IPIN side="top" index="2" mux_size="4"> + <driver_node type="CHANX" side="left" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" index="15" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="0" mux_size="4"> + <driver_node type="CHANX" side="left" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" index="17" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="1" mux_size="4"> + <driver_node type="CHANX" side="left" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" index="19" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="2" mux_size="2"> + <driver_node type="CHANX" side="left" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" index="11" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_3__2_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_3__2_.xml new file mode 100644 index 000000000..9c46a22f1 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_3__2_.xml @@ -0,0 +1,34 @@ +<rr_cb x="3" y="2" num_sides="4"> + <IPIN side="top" index="0" mux_size="4"> + <driver_node type="CHANX" side="left" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" index="11" segment_id="0"/> + </IPIN> + <IPIN side="top" index="1" mux_size="2"> + <driver_node type="CHANX" side="left" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" index="3" segment_id="0"/> + </IPIN> + <IPIN side="top" index="2" mux_size="4"> + <driver_node type="CHANX" side="left" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" index="15" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="0" mux_size="4"> + <driver_node type="CHANX" side="left" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" index="17" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="1" mux_size="4"> + <driver_node type="CHANX" side="left" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" index="19" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="2" mux_size="2"> + <driver_node type="CHANX" side="left" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" index="11" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_3__3_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_3__3_.xml new file mode 100644 index 000000000..3d1cc7d4e --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_3__3_.xml @@ -0,0 +1,34 @@ +<rr_cb x="3" y="3" num_sides="4"> + <IPIN side="top" index="0" mux_size="4"> + <driver_node type="CHANX" side="left" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" index="11" segment_id="0"/> + </IPIN> + <IPIN side="top" index="1" mux_size="2"> + <driver_node type="CHANX" side="left" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" index="3" segment_id="0"/> + </IPIN> + <IPIN side="top" index="2" mux_size="4"> + <driver_node type="CHANX" side="left" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" index="15" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="0" mux_size="4"> + <driver_node type="CHANX" side="left" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" index="17" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="1" mux_size="4"> + <driver_node type="CHANX" side="left" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" index="19" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="2" mux_size="2"> + <driver_node type="CHANX" side="left" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" index="11" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_3__4_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_3__4_.xml new file mode 100644 index 000000000..71b7fffe9 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_3__4_.xml @@ -0,0 +1,66 @@ +<rr_cb x="3" y="4" num_sides="4"> + <IPIN side="top" index="0" mux_size="4"> + <driver_node type="CHANX" side="left" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" index="11" segment_id="0"/> + </IPIN> + <IPIN side="top" index="1" mux_size="4"> + <driver_node type="CHANX" side="left" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" index="3" segment_id="0"/> + <driver_node type="CHANX" side="left" index="12" segment_id="0"/> + <driver_node type="CHANX" side="left" index="13" segment_id="0"/> + </IPIN> + <IPIN side="top" index="2" mux_size="4"> + <driver_node type="CHANX" side="left" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" index="15" segment_id="0"/> + </IPIN> + <IPIN side="top" index="3" mux_size="4"> + <driver_node type="CHANX" side="left" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" index="17" segment_id="0"/> + </IPIN> + <IPIN side="top" index="4" mux_size="4"> + <driver_node type="CHANX" side="left" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" index="19" segment_id="0"/> + </IPIN> + <IPIN side="top" index="5" mux_size="4"> + <driver_node type="CHANX" side="left" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" index="11" segment_id="0"/> + </IPIN> + <IPIN side="top" index="6" mux_size="4"> + <driver_node type="CHANX" side="left" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" index="3" segment_id="0"/> + <driver_node type="CHANX" side="left" index="12" segment_id="0"/> + <driver_node type="CHANX" side="left" index="13" segment_id="0"/> + </IPIN> + <IPIN side="top" index="7" mux_size="4"> + <driver_node type="CHANX" side="left" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" index="15" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="0" mux_size="4"> + <driver_node type="CHANX" side="left" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" index="17" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="1" mux_size="4"> + <driver_node type="CHANX" side="left" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" index="19" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="2" mux_size="2"> + <driver_node type="CHANX" side="left" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" index="1" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_4__0_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_4__0_.xml new file mode 100644 index 000000000..692302416 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_4__0_.xml @@ -0,0 +1,66 @@ +<rr_cb x="4" y="0" num_sides="4"> + <IPIN side="top" index="0" mux_size="4"> + <driver_node type="CHANX" side="left" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" index="11" segment_id="0"/> + </IPIN> + <IPIN side="top" index="1" mux_size="2"> + <driver_node type="CHANX" side="left" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" index="3" segment_id="0"/> + </IPIN> + <IPIN side="top" index="2" mux_size="4"> + <driver_node type="CHANX" side="left" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" index="15" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="0" mux_size="4"> + <driver_node type="CHANX" side="left" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" index="17" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="1" mux_size="4"> + <driver_node type="CHANX" side="left" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" index="19" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="2" mux_size="4"> + <driver_node type="CHANX" side="left" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" index="11" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="3" mux_size="4"> + <driver_node type="CHANX" side="left" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" index="3" segment_id="0"/> + <driver_node type="CHANX" side="left" index="12" segment_id="0"/> + <driver_node type="CHANX" side="left" index="13" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="4" mux_size="4"> + <driver_node type="CHANX" side="left" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" index="15" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="5" mux_size="4"> + <driver_node type="CHANX" side="left" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" index="17" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="6" mux_size="4"> + <driver_node type="CHANX" side="left" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" index="19" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="7" mux_size="4"> + <driver_node type="CHANX" side="left" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" index="11" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_4__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_4__1_.xml new file mode 100644 index 000000000..d7bc6957f --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_4__1_.xml @@ -0,0 +1,34 @@ +<rr_cb x="4" y="1" num_sides="4"> + <IPIN side="top" index="0" mux_size="4"> + <driver_node type="CHANX" side="left" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" index="11" segment_id="0"/> + </IPIN> + <IPIN side="top" index="1" mux_size="2"> + <driver_node type="CHANX" side="left" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" index="3" segment_id="0"/> + </IPIN> + <IPIN side="top" index="2" mux_size="4"> + <driver_node type="CHANX" side="left" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" index="15" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="0" mux_size="4"> + <driver_node type="CHANX" side="left" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" index="17" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="1" mux_size="4"> + <driver_node type="CHANX" side="left" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" index="19" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="2" mux_size="2"> + <driver_node type="CHANX" side="left" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" index="11" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_4__2_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_4__2_.xml new file mode 100644 index 000000000..d0e23ac6b --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_4__2_.xml @@ -0,0 +1,34 @@ +<rr_cb x="4" y="2" num_sides="4"> + <IPIN side="top" index="0" mux_size="4"> + <driver_node type="CHANX" side="left" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" index="11" segment_id="0"/> + </IPIN> + <IPIN side="top" index="1" mux_size="2"> + <driver_node type="CHANX" side="left" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" index="3" segment_id="0"/> + </IPIN> + <IPIN side="top" index="2" mux_size="4"> + <driver_node type="CHANX" side="left" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" index="15" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="0" mux_size="4"> + <driver_node type="CHANX" side="left" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" index="17" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="1" mux_size="4"> + <driver_node type="CHANX" side="left" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" index="19" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="2" mux_size="2"> + <driver_node type="CHANX" side="left" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" index="11" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_4__3_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_4__3_.xml new file mode 100644 index 000000000..d82784a20 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_4__3_.xml @@ -0,0 +1,34 @@ +<rr_cb x="4" y="3" num_sides="4"> + <IPIN side="top" index="0" mux_size="4"> + <driver_node type="CHANX" side="left" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" index="11" segment_id="0"/> + </IPIN> + <IPIN side="top" index="1" mux_size="2"> + <driver_node type="CHANX" side="left" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" index="3" segment_id="0"/> + </IPIN> + <IPIN side="top" index="2" mux_size="4"> + <driver_node type="CHANX" side="left" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" index="15" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="0" mux_size="4"> + <driver_node type="CHANX" side="left" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" index="17" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="1" mux_size="4"> + <driver_node type="CHANX" side="left" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" index="19" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="2" mux_size="2"> + <driver_node type="CHANX" side="left" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" index="11" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_4__4_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_4__4_.xml new file mode 100644 index 000000000..0df2c5025 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cbx_4__4_.xml @@ -0,0 +1,66 @@ +<rr_cb x="4" y="4" num_sides="4"> + <IPIN side="top" index="0" mux_size="4"> + <driver_node type="CHANX" side="left" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" index="11" segment_id="0"/> + </IPIN> + <IPIN side="top" index="1" mux_size="4"> + <driver_node type="CHANX" side="left" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" index="3" segment_id="0"/> + <driver_node type="CHANX" side="left" index="12" segment_id="0"/> + <driver_node type="CHANX" side="left" index="13" segment_id="0"/> + </IPIN> + <IPIN side="top" index="2" mux_size="4"> + <driver_node type="CHANX" side="left" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" index="15" segment_id="0"/> + </IPIN> + <IPIN side="top" index="3" mux_size="4"> + <driver_node type="CHANX" side="left" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" index="17" segment_id="0"/> + </IPIN> + <IPIN side="top" index="4" mux_size="4"> + <driver_node type="CHANX" side="left" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" index="19" segment_id="0"/> + </IPIN> + <IPIN side="top" index="5" mux_size="4"> + <driver_node type="CHANX" side="left" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" index="1" segment_id="0"/> + <driver_node type="CHANX" side="left" index="10" segment_id="0"/> + <driver_node type="CHANX" side="left" index="11" segment_id="0"/> + </IPIN> + <IPIN side="top" index="6" mux_size="4"> + <driver_node type="CHANX" side="left" index="2" segment_id="0"/> + <driver_node type="CHANX" side="left" index="3" segment_id="0"/> + <driver_node type="CHANX" side="left" index="12" segment_id="0"/> + <driver_node type="CHANX" side="left" index="13" segment_id="0"/> + </IPIN> + <IPIN side="top" index="7" mux_size="4"> + <driver_node type="CHANX" side="left" index="4" segment_id="0"/> + <driver_node type="CHANX" side="left" index="5" segment_id="0"/> + <driver_node type="CHANX" side="left" index="14" segment_id="0"/> + <driver_node type="CHANX" side="left" index="15" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="0" mux_size="4"> + <driver_node type="CHANX" side="left" index="6" segment_id="0"/> + <driver_node type="CHANX" side="left" index="7" segment_id="0"/> + <driver_node type="CHANX" side="left" index="16" segment_id="0"/> + <driver_node type="CHANX" side="left" index="17" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="1" mux_size="4"> + <driver_node type="CHANX" side="left" index="8" segment_id="0"/> + <driver_node type="CHANX" side="left" index="9" segment_id="0"/> + <driver_node type="CHANX" side="left" index="18" segment_id="0"/> + <driver_node type="CHANX" side="left" index="19" segment_id="0"/> + </IPIN> + <IPIN side="bottom" index="2" mux_size="2"> + <driver_node type="CHANX" side="left" index="0" segment_id="0"/> + <driver_node type="CHANX" side="left" index="1" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__1_.xml new file mode 100644 index 000000000..793b5628b --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__1_.xml @@ -0,0 +1,60 @@ +<rr_cb x="0" y="1" num_sides="4"> + <IPIN side="right" index="0" mux_size="4"> + <driver_node type="CHANY" side="top" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" index="11" segment_id="0"/> + </IPIN> + <IPIN side="right" index="1" mux_size="2"> + <driver_node type="CHANY" side="top" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" index="3" segment_id="0"/> + </IPIN> + <IPIN side="left" index="0" mux_size="4"> + <driver_node type="CHANY" side="top" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" index="15" segment_id="0"/> + </IPIN> + <IPIN side="left" index="1" mux_size="4"> + <driver_node type="CHANY" side="top" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" index="7" segment_id="0"/> + <driver_node type="CHANY" side="top" index="16" segment_id="0"/> + <driver_node type="CHANY" side="top" index="17" segment_id="0"/> + </IPIN> + <IPIN side="left" index="2" mux_size="4"> + <driver_node type="CHANY" side="top" index="8" segment_id="0"/> + <driver_node type="CHANY" side="top" index="9" segment_id="0"/> + <driver_node type="CHANY" side="top" index="18" segment_id="0"/> + <driver_node type="CHANY" side="top" index="19" segment_id="0"/> + </IPIN> + <IPIN side="left" index="3" mux_size="4"> + <driver_node type="CHANY" side="top" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" index="11" segment_id="0"/> + </IPIN> + <IPIN side="left" index="4" mux_size="4"> + <driver_node type="CHANY" side="top" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" index="3" segment_id="0"/> + <driver_node type="CHANY" side="top" index="12" segment_id="0"/> + <driver_node type="CHANY" side="top" index="13" segment_id="0"/> + </IPIN> + <IPIN side="left" index="5" mux_size="4"> + <driver_node type="CHANY" side="top" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" index="15" segment_id="0"/> + </IPIN> + <IPIN side="left" index="6" mux_size="4"> + <driver_node type="CHANY" side="top" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" index="7" segment_id="0"/> + <driver_node type="CHANY" side="top" index="16" segment_id="0"/> + <driver_node type="CHANY" side="top" index="17" segment_id="0"/> + </IPIN> + <IPIN side="left" index="7" mux_size="4"> + <driver_node type="CHANY" side="top" index="8" segment_id="0"/> + <driver_node type="CHANY" side="top" index="9" segment_id="0"/> + <driver_node type="CHANY" side="top" index="18" segment_id="0"/> + <driver_node type="CHANY" side="top" index="19" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__2_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__2_.xml new file mode 100644 index 000000000..4533c39bc --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__2_.xml @@ -0,0 +1,60 @@ +<rr_cb x="0" y="2" num_sides="4"> + <IPIN side="right" index="0" mux_size="4"> + <driver_node type="CHANY" side="top" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" index="11" segment_id="0"/> + </IPIN> + <IPIN side="right" index="1" mux_size="2"> + <driver_node type="CHANY" side="top" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" index="3" segment_id="0"/> + </IPIN> + <IPIN side="left" index="0" mux_size="4"> + <driver_node type="CHANY" side="top" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" index="15" segment_id="0"/> + </IPIN> + <IPIN side="left" index="1" mux_size="4"> + <driver_node type="CHANY" side="top" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" index="7" segment_id="0"/> + <driver_node type="CHANY" side="top" index="16" segment_id="0"/> + <driver_node type="CHANY" side="top" index="17" segment_id="0"/> + </IPIN> + <IPIN side="left" index="2" mux_size="4"> + <driver_node type="CHANY" side="top" index="8" segment_id="0"/> + <driver_node type="CHANY" side="top" index="9" segment_id="0"/> + <driver_node type="CHANY" side="top" index="18" segment_id="0"/> + <driver_node type="CHANY" side="top" index="19" segment_id="0"/> + </IPIN> + <IPIN side="left" index="3" mux_size="4"> + <driver_node type="CHANY" side="top" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" index="11" segment_id="0"/> + </IPIN> + <IPIN side="left" index="4" mux_size="4"> + <driver_node type="CHANY" side="top" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" index="3" segment_id="0"/> + <driver_node type="CHANY" side="top" index="12" segment_id="0"/> + <driver_node type="CHANY" side="top" index="13" segment_id="0"/> + </IPIN> + <IPIN side="left" index="5" mux_size="4"> + <driver_node type="CHANY" side="top" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" index="15" segment_id="0"/> + </IPIN> + <IPIN side="left" index="6" mux_size="4"> + <driver_node type="CHANY" side="top" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" index="7" segment_id="0"/> + <driver_node type="CHANY" side="top" index="16" segment_id="0"/> + <driver_node type="CHANY" side="top" index="17" segment_id="0"/> + </IPIN> + <IPIN side="left" index="7" mux_size="4"> + <driver_node type="CHANY" side="top" index="8" segment_id="0"/> + <driver_node type="CHANY" side="top" index="9" segment_id="0"/> + <driver_node type="CHANY" side="top" index="18" segment_id="0"/> + <driver_node type="CHANY" side="top" index="19" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__3_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__3_.xml new file mode 100644 index 000000000..176b9f5f6 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__3_.xml @@ -0,0 +1,60 @@ +<rr_cb x="0" y="3" num_sides="4"> + <IPIN side="right" index="0" mux_size="4"> + <driver_node type="CHANY" side="top" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" index="11" segment_id="0"/> + </IPIN> + <IPIN side="right" index="1" mux_size="2"> + <driver_node type="CHANY" side="top" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" index="3" segment_id="0"/> + </IPIN> + <IPIN side="left" index="0" mux_size="4"> + <driver_node type="CHANY" side="top" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" index="15" segment_id="0"/> + </IPIN> + <IPIN side="left" index="1" mux_size="4"> + <driver_node type="CHANY" side="top" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" index="7" segment_id="0"/> + <driver_node type="CHANY" side="top" index="16" segment_id="0"/> + <driver_node type="CHANY" side="top" index="17" segment_id="0"/> + </IPIN> + <IPIN side="left" index="2" mux_size="4"> + <driver_node type="CHANY" side="top" index="8" segment_id="0"/> + <driver_node type="CHANY" side="top" index="9" segment_id="0"/> + <driver_node type="CHANY" side="top" index="18" segment_id="0"/> + <driver_node type="CHANY" side="top" index="19" segment_id="0"/> + </IPIN> + <IPIN side="left" index="3" mux_size="4"> + <driver_node type="CHANY" side="top" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" index="11" segment_id="0"/> + </IPIN> + <IPIN side="left" index="4" mux_size="4"> + <driver_node type="CHANY" side="top" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" index="3" segment_id="0"/> + <driver_node type="CHANY" side="top" index="12" segment_id="0"/> + <driver_node type="CHANY" side="top" index="13" segment_id="0"/> + </IPIN> + <IPIN side="left" index="5" mux_size="4"> + <driver_node type="CHANY" side="top" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" index="15" segment_id="0"/> + </IPIN> + <IPIN side="left" index="6" mux_size="4"> + <driver_node type="CHANY" side="top" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" index="7" segment_id="0"/> + <driver_node type="CHANY" side="top" index="16" segment_id="0"/> + <driver_node type="CHANY" side="top" index="17" segment_id="0"/> + </IPIN> + <IPIN side="left" index="7" mux_size="4"> + <driver_node type="CHANY" side="top" index="8" segment_id="0"/> + <driver_node type="CHANY" side="top" index="9" segment_id="0"/> + <driver_node type="CHANY" side="top" index="18" segment_id="0"/> + <driver_node type="CHANY" side="top" index="19" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__4_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__4_.xml new file mode 100644 index 000000000..bee8e919c --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__4_.xml @@ -0,0 +1,60 @@ +<rr_cb x="0" y="4" num_sides="4"> + <IPIN side="right" index="0" mux_size="4"> + <driver_node type="CHANY" side="top" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" index="11" segment_id="0"/> + </IPIN> + <IPIN side="right" index="1" mux_size="2"> + <driver_node type="CHANY" side="top" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" index="3" segment_id="0"/> + </IPIN> + <IPIN side="left" index="0" mux_size="4"> + <driver_node type="CHANY" side="top" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" index="15" segment_id="0"/> + </IPIN> + <IPIN side="left" index="1" mux_size="4"> + <driver_node type="CHANY" side="top" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" index="7" segment_id="0"/> + <driver_node type="CHANY" side="top" index="16" segment_id="0"/> + <driver_node type="CHANY" side="top" index="17" segment_id="0"/> + </IPIN> + <IPIN side="left" index="2" mux_size="4"> + <driver_node type="CHANY" side="top" index="8" segment_id="0"/> + <driver_node type="CHANY" side="top" index="9" segment_id="0"/> + <driver_node type="CHANY" side="top" index="18" segment_id="0"/> + <driver_node type="CHANY" side="top" index="19" segment_id="0"/> + </IPIN> + <IPIN side="left" index="3" mux_size="4"> + <driver_node type="CHANY" side="top" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" index="11" segment_id="0"/> + </IPIN> + <IPIN side="left" index="4" mux_size="4"> + <driver_node type="CHANY" side="top" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" index="3" segment_id="0"/> + <driver_node type="CHANY" side="top" index="12" segment_id="0"/> + <driver_node type="CHANY" side="top" index="13" segment_id="0"/> + </IPIN> + <IPIN side="left" index="5" mux_size="4"> + <driver_node type="CHANY" side="top" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" index="15" segment_id="0"/> + </IPIN> + <IPIN side="left" index="6" mux_size="4"> + <driver_node type="CHANY" side="top" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" index="7" segment_id="0"/> + <driver_node type="CHANY" side="top" index="16" segment_id="0"/> + <driver_node type="CHANY" side="top" index="17" segment_id="0"/> + </IPIN> + <IPIN side="left" index="7" mux_size="4"> + <driver_node type="CHANY" side="top" index="8" segment_id="0"/> + <driver_node type="CHANY" side="top" index="9" segment_id="0"/> + <driver_node type="CHANY" side="top" index="18" segment_id="0"/> + <driver_node type="CHANY" side="top" index="19" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__5_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__5_.xml new file mode 100644 index 000000000..ef28b42a4 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__5_.xml @@ -0,0 +1,2 @@ +<rr_cb x="0" y="5" num_sides="4"> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__1_.xml new file mode 100644 index 000000000..11fbfd51f --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__1_.xml @@ -0,0 +1,26 @@ +<rr_cb x="1" y="1" num_sides="4"> + <IPIN side="right" index="0" mux_size="4"> + <driver_node type="CHANY" side="top" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" index="11" segment_id="0"/> + </IPIN> + <IPIN side="right" index="1" mux_size="2"> + <driver_node type="CHANY" side="top" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" index="3" segment_id="0"/> + </IPIN> + <IPIN side="left" index="0" mux_size="4"> + <driver_node type="CHANY" side="top" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" index="15" segment_id="0"/> + </IPIN> + <IPIN side="left" index="1" mux_size="2"> + <driver_node type="CHANY" side="top" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" index="7" segment_id="0"/> + </IPIN> + <IPIN side="left" index="2" mux_size="2"> + <driver_node type="CHANY" side="top" index="8" segment_id="0"/> + <driver_node type="CHANY" side="top" index="9" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__2_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__2_.xml new file mode 100644 index 000000000..0026bd554 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__2_.xml @@ -0,0 +1,26 @@ +<rr_cb x="1" y="2" num_sides="4"> + <IPIN side="right" index="0" mux_size="4"> + <driver_node type="CHANY" side="top" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" index="11" segment_id="0"/> + </IPIN> + <IPIN side="right" index="1" mux_size="2"> + <driver_node type="CHANY" side="top" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" index="3" segment_id="0"/> + </IPIN> + <IPIN side="left" index="0" mux_size="4"> + <driver_node type="CHANY" side="top" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" index="15" segment_id="0"/> + </IPIN> + <IPIN side="left" index="1" mux_size="2"> + <driver_node type="CHANY" side="top" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" index="7" segment_id="0"/> + </IPIN> + <IPIN side="left" index="2" mux_size="2"> + <driver_node type="CHANY" side="top" index="8" segment_id="0"/> + <driver_node type="CHANY" side="top" index="9" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__3_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__3_.xml new file mode 100644 index 000000000..50006d9d2 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__3_.xml @@ -0,0 +1,26 @@ +<rr_cb x="1" y="3" num_sides="4"> + <IPIN side="right" index="0" mux_size="4"> + <driver_node type="CHANY" side="top" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" index="11" segment_id="0"/> + </IPIN> + <IPIN side="right" index="1" mux_size="2"> + <driver_node type="CHANY" side="top" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" index="3" segment_id="0"/> + </IPIN> + <IPIN side="left" index="0" mux_size="4"> + <driver_node type="CHANY" side="top" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" index="15" segment_id="0"/> + </IPIN> + <IPIN side="left" index="1" mux_size="2"> + <driver_node type="CHANY" side="top" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" index="7" segment_id="0"/> + </IPIN> + <IPIN side="left" index="2" mux_size="2"> + <driver_node type="CHANY" side="top" index="8" segment_id="0"/> + <driver_node type="CHANY" side="top" index="9" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__4_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__4_.xml new file mode 100644 index 000000000..4063f260e --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__4_.xml @@ -0,0 +1,26 @@ +<rr_cb x="1" y="4" num_sides="4"> + <IPIN side="right" index="0" mux_size="4"> + <driver_node type="CHANY" side="top" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" index="11" segment_id="0"/> + </IPIN> + <IPIN side="right" index="1" mux_size="2"> + <driver_node type="CHANY" side="top" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" index="3" segment_id="0"/> + </IPIN> + <IPIN side="left" index="0" mux_size="4"> + <driver_node type="CHANY" side="top" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" index="15" segment_id="0"/> + </IPIN> + <IPIN side="left" index="1" mux_size="2"> + <driver_node type="CHANY" side="top" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" index="7" segment_id="0"/> + </IPIN> + <IPIN side="left" index="2" mux_size="2"> + <driver_node type="CHANY" side="top" index="8" segment_id="0"/> + <driver_node type="CHANY" side="top" index="9" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__5_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__5_.xml new file mode 100644 index 000000000..cbc65a24f --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__5_.xml @@ -0,0 +1,2 @@ +<rr_cb x="1" y="5" num_sides="4"> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_2__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_2__1_.xml new file mode 100644 index 000000000..488bff99b --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_2__1_.xml @@ -0,0 +1,26 @@ +<rr_cb x="2" y="1" num_sides="4"> + <IPIN side="right" index="0" mux_size="4"> + <driver_node type="CHANY" side="top" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" index="11" segment_id="0"/> + </IPIN> + <IPIN side="right" index="1" mux_size="2"> + <driver_node type="CHANY" side="top" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" index="3" segment_id="0"/> + </IPIN> + <IPIN side="left" index="0" mux_size="4"> + <driver_node type="CHANY" side="top" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" index="15" segment_id="0"/> + </IPIN> + <IPIN side="left" index="1" mux_size="2"> + <driver_node type="CHANY" side="top" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" index="7" segment_id="0"/> + </IPIN> + <IPIN side="left" index="2" mux_size="2"> + <driver_node type="CHANY" side="top" index="8" segment_id="0"/> + <driver_node type="CHANY" side="top" index="9" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_2__2_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_2__2_.xml new file mode 100644 index 000000000..ea86b5480 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_2__2_.xml @@ -0,0 +1,26 @@ +<rr_cb x="2" y="2" num_sides="4"> + <IPIN side="right" index="0" mux_size="4"> + <driver_node type="CHANY" side="top" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" index="11" segment_id="0"/> + </IPIN> + <IPIN side="right" index="1" mux_size="2"> + <driver_node type="CHANY" side="top" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" index="3" segment_id="0"/> + </IPIN> + <IPIN side="left" index="0" mux_size="4"> + <driver_node type="CHANY" side="top" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" index="15" segment_id="0"/> + </IPIN> + <IPIN side="left" index="1" mux_size="2"> + <driver_node type="CHANY" side="top" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" index="7" segment_id="0"/> + </IPIN> + <IPIN side="left" index="2" mux_size="2"> + <driver_node type="CHANY" side="top" index="8" segment_id="0"/> + <driver_node type="CHANY" side="top" index="9" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_2__3_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_2__3_.xml new file mode 100644 index 000000000..c3ac067bd --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_2__3_.xml @@ -0,0 +1,26 @@ +<rr_cb x="2" y="3" num_sides="4"> + <IPIN side="right" index="0" mux_size="4"> + <driver_node type="CHANY" side="top" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" index="11" segment_id="0"/> + </IPIN> + <IPIN side="right" index="1" mux_size="2"> + <driver_node type="CHANY" side="top" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" index="3" segment_id="0"/> + </IPIN> + <IPIN side="left" index="0" mux_size="4"> + <driver_node type="CHANY" side="top" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" index="15" segment_id="0"/> + </IPIN> + <IPIN side="left" index="1" mux_size="2"> + <driver_node type="CHANY" side="top" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" index="7" segment_id="0"/> + </IPIN> + <IPIN side="left" index="2" mux_size="2"> + <driver_node type="CHANY" side="top" index="8" segment_id="0"/> + <driver_node type="CHANY" side="top" index="9" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_2__4_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_2__4_.xml new file mode 100644 index 000000000..387479a35 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_2__4_.xml @@ -0,0 +1,26 @@ +<rr_cb x="2" y="4" num_sides="4"> + <IPIN side="right" index="0" mux_size="4"> + <driver_node type="CHANY" side="top" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" index="11" segment_id="0"/> + </IPIN> + <IPIN side="right" index="1" mux_size="2"> + <driver_node type="CHANY" side="top" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" index="3" segment_id="0"/> + </IPIN> + <IPIN side="left" index="0" mux_size="4"> + <driver_node type="CHANY" side="top" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" index="15" segment_id="0"/> + </IPIN> + <IPIN side="left" index="1" mux_size="2"> + <driver_node type="CHANY" side="top" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" index="7" segment_id="0"/> + </IPIN> + <IPIN side="left" index="2" mux_size="2"> + <driver_node type="CHANY" side="top" index="8" segment_id="0"/> + <driver_node type="CHANY" side="top" index="9" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_2__5_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_2__5_.xml new file mode 100644 index 000000000..7af491c57 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_2__5_.xml @@ -0,0 +1,2 @@ +<rr_cb x="2" y="5" num_sides="4"> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_3__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_3__1_.xml new file mode 100644 index 000000000..a3b68c168 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_3__1_.xml @@ -0,0 +1,26 @@ +<rr_cb x="3" y="1" num_sides="4"> + <IPIN side="right" index="0" mux_size="4"> + <driver_node type="CHANY" side="top" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" index="11" segment_id="0"/> + </IPIN> + <IPIN side="right" index="1" mux_size="2"> + <driver_node type="CHANY" side="top" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" index="3" segment_id="0"/> + </IPIN> + <IPIN side="left" index="0" mux_size="4"> + <driver_node type="CHANY" side="top" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" index="15" segment_id="0"/> + </IPIN> + <IPIN side="left" index="1" mux_size="2"> + <driver_node type="CHANY" side="top" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" index="7" segment_id="0"/> + </IPIN> + <IPIN side="left" index="2" mux_size="2"> + <driver_node type="CHANY" side="top" index="8" segment_id="0"/> + <driver_node type="CHANY" side="top" index="9" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_3__2_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_3__2_.xml new file mode 100644 index 000000000..83e9f50db --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_3__2_.xml @@ -0,0 +1,26 @@ +<rr_cb x="3" y="2" num_sides="4"> + <IPIN side="right" index="0" mux_size="4"> + <driver_node type="CHANY" side="top" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" index="11" segment_id="0"/> + </IPIN> + <IPIN side="right" index="1" mux_size="2"> + <driver_node type="CHANY" side="top" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" index="3" segment_id="0"/> + </IPIN> + <IPIN side="left" index="0" mux_size="4"> + <driver_node type="CHANY" side="top" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" index="15" segment_id="0"/> + </IPIN> + <IPIN side="left" index="1" mux_size="2"> + <driver_node type="CHANY" side="top" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" index="7" segment_id="0"/> + </IPIN> + <IPIN side="left" index="2" mux_size="2"> + <driver_node type="CHANY" side="top" index="8" segment_id="0"/> + <driver_node type="CHANY" side="top" index="9" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_3__3_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_3__3_.xml new file mode 100644 index 000000000..ccaa1b7fb --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_3__3_.xml @@ -0,0 +1,26 @@ +<rr_cb x="3" y="3" num_sides="4"> + <IPIN side="right" index="0" mux_size="4"> + <driver_node type="CHANY" side="top" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" index="11" segment_id="0"/> + </IPIN> + <IPIN side="right" index="1" mux_size="2"> + <driver_node type="CHANY" side="top" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" index="3" segment_id="0"/> + </IPIN> + <IPIN side="left" index="0" mux_size="4"> + <driver_node type="CHANY" side="top" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" index="15" segment_id="0"/> + </IPIN> + <IPIN side="left" index="1" mux_size="2"> + <driver_node type="CHANY" side="top" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" index="7" segment_id="0"/> + </IPIN> + <IPIN side="left" index="2" mux_size="2"> + <driver_node type="CHANY" side="top" index="8" segment_id="0"/> + <driver_node type="CHANY" side="top" index="9" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_3__4_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_3__4_.xml new file mode 100644 index 000000000..df8bca3f2 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_3__4_.xml @@ -0,0 +1,26 @@ +<rr_cb x="3" y="4" num_sides="4"> + <IPIN side="right" index="0" mux_size="4"> + <driver_node type="CHANY" side="top" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" index="11" segment_id="0"/> + </IPIN> + <IPIN side="right" index="1" mux_size="2"> + <driver_node type="CHANY" side="top" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" index="3" segment_id="0"/> + </IPIN> + <IPIN side="left" index="0" mux_size="4"> + <driver_node type="CHANY" side="top" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" index="15" segment_id="0"/> + </IPIN> + <IPIN side="left" index="1" mux_size="2"> + <driver_node type="CHANY" side="top" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" index="7" segment_id="0"/> + </IPIN> + <IPIN side="left" index="2" mux_size="2"> + <driver_node type="CHANY" side="top" index="8" segment_id="0"/> + <driver_node type="CHANY" side="top" index="9" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_3__5_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_3__5_.xml new file mode 100644 index 000000000..8133d6c25 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_3__5_.xml @@ -0,0 +1,2 @@ +<rr_cb x="3" y="5" num_sides="4"> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_4__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_4__1_.xml new file mode 100644 index 000000000..20f9f7b50 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_4__1_.xml @@ -0,0 +1,64 @@ +<rr_cb x="4" y="1" num_sides="4"> + <IPIN side="right" index="0" mux_size="4"> + <driver_node type="CHANY" side="top" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" index="11" segment_id="0"/> + </IPIN> + <IPIN side="right" index="1" mux_size="4"> + <driver_node type="CHANY" side="top" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" index="3" segment_id="0"/> + <driver_node type="CHANY" side="top" index="12" segment_id="0"/> + <driver_node type="CHANY" side="top" index="13" segment_id="0"/> + </IPIN> + <IPIN side="right" index="2" mux_size="4"> + <driver_node type="CHANY" side="top" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" index="15" segment_id="0"/> + </IPIN> + <IPIN side="right" index="3" mux_size="4"> + <driver_node type="CHANY" side="top" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" index="7" segment_id="0"/> + <driver_node type="CHANY" side="top" index="16" segment_id="0"/> + <driver_node type="CHANY" side="top" index="17" segment_id="0"/> + </IPIN> + <IPIN side="right" index="4" mux_size="4"> + <driver_node type="CHANY" side="top" index="8" segment_id="0"/> + <driver_node type="CHANY" side="top" index="9" segment_id="0"/> + <driver_node type="CHANY" side="top" index="18" segment_id="0"/> + <driver_node type="CHANY" side="top" index="19" segment_id="0"/> + </IPIN> + <IPIN side="right" index="5" mux_size="4"> + <driver_node type="CHANY" side="top" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" index="11" segment_id="0"/> + </IPIN> + <IPIN side="right" index="6" mux_size="4"> + <driver_node type="CHANY" side="top" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" index="3" segment_id="0"/> + <driver_node type="CHANY" side="top" index="12" segment_id="0"/> + <driver_node type="CHANY" side="top" index="13" segment_id="0"/> + </IPIN> + <IPIN side="right" index="7" mux_size="4"> + <driver_node type="CHANY" side="top" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" index="15" segment_id="0"/> + </IPIN> + <IPIN side="left" index="0" mux_size="4"> + <driver_node type="CHANY" side="top" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" index="7" segment_id="0"/> + <driver_node type="CHANY" side="top" index="16" segment_id="0"/> + <driver_node type="CHANY" side="top" index="17" segment_id="0"/> + </IPIN> + <IPIN side="left" index="1" mux_size="2"> + <driver_node type="CHANY" side="top" index="18" segment_id="0"/> + <driver_node type="CHANY" side="top" index="19" segment_id="0"/> + </IPIN> + <IPIN side="left" index="2" mux_size="2"> + <driver_node type="CHANY" side="top" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" index="1" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_4__2_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_4__2_.xml new file mode 100644 index 000000000..d533a55a7 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_4__2_.xml @@ -0,0 +1,64 @@ +<rr_cb x="4" y="2" num_sides="4"> + <IPIN side="right" index="0" mux_size="4"> + <driver_node type="CHANY" side="top" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" index="11" segment_id="0"/> + </IPIN> + <IPIN side="right" index="1" mux_size="4"> + <driver_node type="CHANY" side="top" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" index="3" segment_id="0"/> + <driver_node type="CHANY" side="top" index="12" segment_id="0"/> + <driver_node type="CHANY" side="top" index="13" segment_id="0"/> + </IPIN> + <IPIN side="right" index="2" mux_size="4"> + <driver_node type="CHANY" side="top" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" index="15" segment_id="0"/> + </IPIN> + <IPIN side="right" index="3" mux_size="4"> + <driver_node type="CHANY" side="top" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" index="7" segment_id="0"/> + <driver_node type="CHANY" side="top" index="16" segment_id="0"/> + <driver_node type="CHANY" side="top" index="17" segment_id="0"/> + </IPIN> + <IPIN side="right" index="4" mux_size="4"> + <driver_node type="CHANY" side="top" index="8" segment_id="0"/> + <driver_node type="CHANY" side="top" index="9" segment_id="0"/> + <driver_node type="CHANY" side="top" index="18" segment_id="0"/> + <driver_node type="CHANY" side="top" index="19" segment_id="0"/> + </IPIN> + <IPIN side="right" index="5" mux_size="4"> + <driver_node type="CHANY" side="top" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" index="11" segment_id="0"/> + </IPIN> + <IPIN side="right" index="6" mux_size="4"> + <driver_node type="CHANY" side="top" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" index="3" segment_id="0"/> + <driver_node type="CHANY" side="top" index="12" segment_id="0"/> + <driver_node type="CHANY" side="top" index="13" segment_id="0"/> + </IPIN> + <IPIN side="right" index="7" mux_size="4"> + <driver_node type="CHANY" side="top" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" index="15" segment_id="0"/> + </IPIN> + <IPIN side="left" index="0" mux_size="4"> + <driver_node type="CHANY" side="top" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" index="7" segment_id="0"/> + <driver_node type="CHANY" side="top" index="16" segment_id="0"/> + <driver_node type="CHANY" side="top" index="17" segment_id="0"/> + </IPIN> + <IPIN side="left" index="1" mux_size="2"> + <driver_node type="CHANY" side="top" index="18" segment_id="0"/> + <driver_node type="CHANY" side="top" index="19" segment_id="0"/> + </IPIN> + <IPIN side="left" index="2" mux_size="2"> + <driver_node type="CHANY" side="top" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" index="1" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_4__3_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_4__3_.xml new file mode 100644 index 000000000..c9874c86b --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_4__3_.xml @@ -0,0 +1,64 @@ +<rr_cb x="4" y="3" num_sides="4"> + <IPIN side="right" index="0" mux_size="4"> + <driver_node type="CHANY" side="top" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" index="11" segment_id="0"/> + </IPIN> + <IPIN side="right" index="1" mux_size="4"> + <driver_node type="CHANY" side="top" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" index="3" segment_id="0"/> + <driver_node type="CHANY" side="top" index="12" segment_id="0"/> + <driver_node type="CHANY" side="top" index="13" segment_id="0"/> + </IPIN> + <IPIN side="right" index="2" mux_size="4"> + <driver_node type="CHANY" side="top" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" index="15" segment_id="0"/> + </IPIN> + <IPIN side="right" index="3" mux_size="4"> + <driver_node type="CHANY" side="top" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" index="7" segment_id="0"/> + <driver_node type="CHANY" side="top" index="16" segment_id="0"/> + <driver_node type="CHANY" side="top" index="17" segment_id="0"/> + </IPIN> + <IPIN side="right" index="4" mux_size="4"> + <driver_node type="CHANY" side="top" index="8" segment_id="0"/> + <driver_node type="CHANY" side="top" index="9" segment_id="0"/> + <driver_node type="CHANY" side="top" index="18" segment_id="0"/> + <driver_node type="CHANY" side="top" index="19" segment_id="0"/> + </IPIN> + <IPIN side="right" index="5" mux_size="4"> + <driver_node type="CHANY" side="top" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" index="11" segment_id="0"/> + </IPIN> + <IPIN side="right" index="6" mux_size="4"> + <driver_node type="CHANY" side="top" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" index="3" segment_id="0"/> + <driver_node type="CHANY" side="top" index="12" segment_id="0"/> + <driver_node type="CHANY" side="top" index="13" segment_id="0"/> + </IPIN> + <IPIN side="right" index="7" mux_size="4"> + <driver_node type="CHANY" side="top" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" index="15" segment_id="0"/> + </IPIN> + <IPIN side="left" index="0" mux_size="4"> + <driver_node type="CHANY" side="top" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" index="7" segment_id="0"/> + <driver_node type="CHANY" side="top" index="16" segment_id="0"/> + <driver_node type="CHANY" side="top" index="17" segment_id="0"/> + </IPIN> + <IPIN side="left" index="1" mux_size="2"> + <driver_node type="CHANY" side="top" index="18" segment_id="0"/> + <driver_node type="CHANY" side="top" index="19" segment_id="0"/> + </IPIN> + <IPIN side="left" index="2" mux_size="2"> + <driver_node type="CHANY" side="top" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" index="1" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_4__4_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_4__4_.xml new file mode 100644 index 000000000..52722e4bb --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_4__4_.xml @@ -0,0 +1,64 @@ +<rr_cb x="4" y="4" num_sides="4"> + <IPIN side="right" index="0" mux_size="4"> + <driver_node type="CHANY" side="top" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" index="11" segment_id="0"/> + </IPIN> + <IPIN side="right" index="1" mux_size="4"> + <driver_node type="CHANY" side="top" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" index="3" segment_id="0"/> + <driver_node type="CHANY" side="top" index="12" segment_id="0"/> + <driver_node type="CHANY" side="top" index="13" segment_id="0"/> + </IPIN> + <IPIN side="right" index="2" mux_size="4"> + <driver_node type="CHANY" side="top" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" index="15" segment_id="0"/> + </IPIN> + <IPIN side="right" index="3" mux_size="4"> + <driver_node type="CHANY" side="top" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" index="7" segment_id="0"/> + <driver_node type="CHANY" side="top" index="16" segment_id="0"/> + <driver_node type="CHANY" side="top" index="17" segment_id="0"/> + </IPIN> + <IPIN side="right" index="4" mux_size="4"> + <driver_node type="CHANY" side="top" index="8" segment_id="0"/> + <driver_node type="CHANY" side="top" index="9" segment_id="0"/> + <driver_node type="CHANY" side="top" index="18" segment_id="0"/> + <driver_node type="CHANY" side="top" index="19" segment_id="0"/> + </IPIN> + <IPIN side="right" index="5" mux_size="4"> + <driver_node type="CHANY" side="top" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" index="1" segment_id="0"/> + <driver_node type="CHANY" side="top" index="10" segment_id="0"/> + <driver_node type="CHANY" side="top" index="11" segment_id="0"/> + </IPIN> + <IPIN side="right" index="6" mux_size="4"> + <driver_node type="CHANY" side="top" index="2" segment_id="0"/> + <driver_node type="CHANY" side="top" index="3" segment_id="0"/> + <driver_node type="CHANY" side="top" index="12" segment_id="0"/> + <driver_node type="CHANY" side="top" index="13" segment_id="0"/> + </IPIN> + <IPIN side="right" index="7" mux_size="4"> + <driver_node type="CHANY" side="top" index="4" segment_id="0"/> + <driver_node type="CHANY" side="top" index="5" segment_id="0"/> + <driver_node type="CHANY" side="top" index="14" segment_id="0"/> + <driver_node type="CHANY" side="top" index="15" segment_id="0"/> + </IPIN> + <IPIN side="left" index="0" mux_size="4"> + <driver_node type="CHANY" side="top" index="6" segment_id="0"/> + <driver_node type="CHANY" side="top" index="7" segment_id="0"/> + <driver_node type="CHANY" side="top" index="16" segment_id="0"/> + <driver_node type="CHANY" side="top" index="17" segment_id="0"/> + </IPIN> + <IPIN side="left" index="1" mux_size="2"> + <driver_node type="CHANY" side="top" index="18" segment_id="0"/> + <driver_node type="CHANY" side="top" index="19" segment_id="0"/> + </IPIN> + <IPIN side="left" index="2" mux_size="2"> + <driver_node type="CHANY" side="top" index="0" segment_id="0"/> + <driver_node type="CHANY" side="top" index="1" segment_id="0"/> + </IPIN> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_4__5_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_4__5_.xml new file mode 100644 index 000000000..8a749f802 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_4__5_.xml @@ -0,0 +1,2 @@ +<rr_cb x="4" y="5" num_sides="4"> +</rr_cb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_0__0_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_0__0_.xml new file mode 100644 index 000000000..ceef19ea1 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_0__0_.xml @@ -0,0 +1,80 @@ +<rr_sb x="0" y="0" num_sides="4"> + <CHANY side="top" index="0" mux_size="2"> + <driver_node type="OPIN" side="top" index="0"/> + <driver_node type="CHANX" side="right" index="3"/> + </CHANY> + <CHANY side="top" index="2" mux_size="2"> + <driver_node type="OPIN" side="top" index="1"/> + <driver_node type="CHANX" side="right" index="5"/> + </CHANY> + <CHANY side="top" index="4" mux_size="2"> + <driver_node type="OPIN" side="top" index="2"/> + <driver_node type="CHANX" side="right" index="7"/> + </CHANY> + <CHANY side="top" index="6" mux_size="2"> + <driver_node type="OPIN" side="top" index="3"/> + <driver_node type="CHANX" side="right" index="9"/> + </CHANY> + <CHANY side="top" index="8" mux_size="2"> + <driver_node type="OPIN" side="top" index="4"/> + <driver_node type="CHANX" side="right" index="11"/> + </CHANY> + <CHANY side="top" index="10" mux_size="2"> + <driver_node type="OPIN" side="top" index="5"/> + <driver_node type="CHANX" side="right" index="13"/> + </CHANY> + <CHANY side="top" index="12" mux_size="2"> + <driver_node type="OPIN" side="top" index="6"/> + <driver_node type="CHANX" side="right" index="15"/> + </CHANY> + <CHANY side="top" index="14" mux_size="2"> + <driver_node type="OPIN" side="top" index="7"/> + <driver_node type="CHANX" side="right" index="17"/> + </CHANY> + <CHANY side="top" index="16" mux_size="2"> + <driver_node type="OPIN" side="top" index="8"/> + <driver_node type="CHANX" side="right" index="19"/> + </CHANY> + <CHANY side="top" index="18" mux_size="1"> + <driver_node type="CHANX" side="right" index="1"/> + </CHANY> + <CHANX side="right" index="0" mux_size="2"> + <driver_node type="CHANY" side="top" index="19"/> + <driver_node type="OPIN" side="right" index="0"/> + </CHANX> + <CHANX side="right" index="2" mux_size="2"> + <driver_node type="CHANY" side="top" index="1"/> + <driver_node type="OPIN" side="right" index="1"/> + </CHANX> + <CHANX side="right" index="4" mux_size="2"> + <driver_node type="CHANY" side="top" index="3"/> + <driver_node type="OPIN" side="right" index="2"/> + </CHANX> + <CHANX side="right" index="6" mux_size="2"> + <driver_node type="CHANY" side="top" index="5"/> + <driver_node type="OPIN" side="right" index="3"/> + </CHANX> + <CHANX side="right" index="8" mux_size="2"> + <driver_node type="CHANY" side="top" index="7"/> + <driver_node type="OPIN" side="right" index="4"/> + </CHANX> + <CHANX side="right" index="10" mux_size="2"> + <driver_node type="CHANY" side="top" index="9"/> + <driver_node type="OPIN" side="right" index="5"/> + </CHANX> + <CHANX side="right" index="12" mux_size="2"> + <driver_node type="CHANY" side="top" index="11"/> + <driver_node type="OPIN" side="right" index="6"/> + </CHANX> + <CHANX side="right" index="14" mux_size="2"> + <driver_node type="CHANY" side="top" index="13"/> + <driver_node type="OPIN" side="right" index="7"/> + </CHANX> + <CHANX side="right" index="16" mux_size="2"> + <driver_node type="CHANY" side="top" index="15"/> + <driver_node type="OPIN" side="right" index="8"/> + </CHANX> + <CHANX side="right" index="18" mux_size="1"> + <driver_node type="CHANY" side="top" index="17"/> + </CHANX> +</rr_sb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_0__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_0__1_.xml new file mode 100644 index 000000000..0e6bcd26b --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_0__1_.xml @@ -0,0 +1,151 @@ +<rr_sb x="0" y="1" num_sides="4"> + <CHANY side="top" index="0" mux_size="9"> + <driver_node type="OPIN" side="top" index="0"/> + <driver_node type="OPIN" side="top" index="3"/> + <driver_node type="OPIN" side="top" index="6"/> + <driver_node type="CHANX" side="right" index="3"/> + <driver_node type="CHANX" side="right" index="9"/> + <driver_node type="CHANX" side="right" index="15"/> + <driver_node type="CHANY" side="bottom" index="0"/> + <driver_node type="CHANY" side="bottom" index="8"/> + <driver_node type="CHANY" side="bottom" index="16"/> + </CHANY> + <CHANY side="top" index="2" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="0"/> + </CHANY> + <CHANY side="top" index="4" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="2"/> + </CHANY> + <CHANY side="top" index="6" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="4"/> + </CHANY> + <CHANY side="top" index="8" mux_size="8"> + <driver_node type="OPIN" side="top" index="1"/> + <driver_node type="OPIN" side="top" index="4"/> + <driver_node type="OPIN" side="top" index="7"/> + <driver_node type="CHANX" side="right" index="5"/> + <driver_node type="CHANX" side="right" index="11"/> + <driver_node type="CHANX" side="right" index="17"/> + <driver_node type="CHANY" side="bottom" index="2"/> + <driver_node type="CHANY" side="bottom" index="10"/> + </CHANY> + <CHANY side="top" index="10" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="8"/> + </CHANY> + <CHANY side="top" index="12" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="10"/> + </CHANY> + <CHANY side="top" index="14" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="12"/> + </CHANY> + <CHANY side="top" index="16" mux_size="9"> + <driver_node type="OPIN" side="top" index="2"/> + <driver_node type="OPIN" side="top" index="5"/> + <driver_node type="OPIN" side="top" index="8"/> + <driver_node type="CHANX" side="right" index="1"/> + <driver_node type="CHANX" side="right" index="7"/> + <driver_node type="CHANX" side="right" index="13"/> + <driver_node type="CHANX" side="right" index="19"/> + <driver_node type="CHANY" side="bottom" index="4"/> + <driver_node type="CHANY" side="bottom" index="12"/> + </CHANY> + <CHANY side="top" index="18" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="16"/> + </CHANY> + <CHANX side="right" index="0" mux_size="1"> + <driver_node type="OPIN" side="right" index="0"/> + </CHANX> + <CHANX side="right" index="2" mux_size="3"> + <driver_node type="CHANY" side="top" index="1"/> + <driver_node type="CHANY" side="top" index="7"/> + <driver_node type="OPIN" side="right" index="1"/> + </CHANX> + <CHANX side="right" index="4" mux_size="3"> + <driver_node type="CHANY" side="top" index="3"/> + <driver_node type="CHANY" side="top" index="15"/> + <driver_node type="CHANY" side="bottom" index="16"/> + </CHANX> + <CHANX side="right" index="6" mux_size="3"> + <driver_node type="CHANY" side="top" index="5"/> + <driver_node type="CHANY" side="top" index="19"/> + <driver_node type="CHANY" side="bottom" index="12"/> + </CHANX> + <CHANX side="right" index="8" mux_size="2"> + <driver_node type="CHANY" side="top" index="9"/> + <driver_node type="CHANY" side="bottom" index="10"/> + </CHANX> + <CHANX side="right" index="10" mux_size="2"> + <driver_node type="CHANY" side="top" index="11"/> + <driver_node type="CHANY" side="bottom" index="8"/> + </CHANX> + <CHANX side="right" index="12" mux_size="3"> + <driver_node type="CHANY" side="top" index="13"/> + <driver_node type="CHANY" side="bottom" index="4"/> + <driver_node type="CHANY" side="bottom" index="18"/> + </CHANX> + <CHANX side="right" index="14" mux_size="3"> + <driver_node type="CHANY" side="top" index="17"/> + <driver_node type="CHANY" side="bottom" index="2"/> + <driver_node type="CHANY" side="bottom" index="14"/> + </CHANX> + <CHANX side="right" index="16" mux_size="2"> + <driver_node type="CHANY" side="bottom" index="0"/> + <driver_node type="CHANY" side="bottom" index="6"/> + </CHANX> + <CHANX side="right" index="18" mux_size="0"> + <driver_node type="CHANX" side="left" index="-1"/> + </CHANX> + <CHANY side="bottom" index="1" mux_size="9"> + <driver_node type="CHANY" side="top" index="1"/> + <driver_node type="CHANY" side="top" index="9"/> + <driver_node type="CHANY" side="top" index="17"/> + <driver_node type="CHANX" side="right" index="3"/> + <driver_node type="CHANX" side="right" index="9"/> + <driver_node type="CHANX" side="right" index="15"/> + <driver_node type="OPIN" side="bottom" index="0"/> + <driver_node type="OPIN" side="bottom" index="3"/> + <driver_node type="OPIN" side="bottom" index="6"/> + </CHANY> + <CHANY side="bottom" index="3" mux_size="0"> + <driver_node type="CHANY" side="top" index="1"/> + </CHANY> + <CHANY side="bottom" index="5" mux_size="0"> + <driver_node type="CHANY" side="top" index="3"/> + </CHANY> + <CHANY side="bottom" index="7" mux_size="0"> + <driver_node type="CHANY" side="top" index="5"/> + </CHANY> + <CHANY side="bottom" index="9" mux_size="9"> + <driver_node type="CHANY" side="top" index="3"/> + <driver_node type="CHANY" side="top" index="11"/> + <driver_node type="CHANX" side="right" index="1"/> + <driver_node type="CHANX" side="right" index="7"/> + <driver_node type="CHANX" side="right" index="13"/> + <driver_node type="CHANX" side="right" index="19"/> + <driver_node type="OPIN" side="bottom" index="1"/> + <driver_node type="OPIN" side="bottom" index="4"/> + <driver_node type="OPIN" side="bottom" index="7"/> + </CHANY> + <CHANY side="bottom" index="11" mux_size="0"> + <driver_node type="CHANY" side="top" index="9"/> + </CHANY> + <CHANY side="bottom" index="13" mux_size="0"> + <driver_node type="CHANY" side="top" index="11"/> + </CHANY> + <CHANY side="bottom" index="15" mux_size="0"> + <driver_node type="CHANY" side="top" index="13"/> + </CHANY> + <CHANY side="bottom" index="17" mux_size="8"> + <driver_node type="CHANY" side="top" index="5"/> + <driver_node type="CHANY" side="top" index="13"/> + <driver_node type="CHANX" side="right" index="5"/> + <driver_node type="CHANX" side="right" index="11"/> + <driver_node type="CHANX" side="right" index="17"/> + <driver_node type="OPIN" side="bottom" index="2"/> + <driver_node type="OPIN" side="bottom" index="5"/> + <driver_node type="OPIN" side="bottom" index="8"/> + </CHANY> + <CHANY side="bottom" index="19" mux_size="0"> + <driver_node type="CHANY" side="top" index="17"/> + </CHANY> +</rr_sb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_0__2_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_0__2_.xml new file mode 100644 index 000000000..2c0a768af --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_0__2_.xml @@ -0,0 +1,151 @@ +<rr_sb x="0" y="2" num_sides="4"> + <CHANY side="top" index="0" mux_size="9"> + <driver_node type="OPIN" side="top" index="0"/> + <driver_node type="OPIN" side="top" index="3"/> + <driver_node type="OPIN" side="top" index="6"/> + <driver_node type="CHANX" side="right" index="3"/> + <driver_node type="CHANX" side="right" index="9"/> + <driver_node type="CHANX" side="right" index="15"/> + <driver_node type="CHANY" side="bottom" index="0"/> + <driver_node type="CHANY" side="bottom" index="8"/> + <driver_node type="CHANY" side="bottom" index="16"/> + </CHANY> + <CHANY side="top" index="2" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="0"/> + </CHANY> + <CHANY side="top" index="4" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="2"/> + </CHANY> + <CHANY side="top" index="6" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="4"/> + </CHANY> + <CHANY side="top" index="8" mux_size="8"> + <driver_node type="OPIN" side="top" index="1"/> + <driver_node type="OPIN" side="top" index="4"/> + <driver_node type="OPIN" side="top" index="7"/> + <driver_node type="CHANX" side="right" index="5"/> + <driver_node type="CHANX" side="right" index="11"/> + <driver_node type="CHANX" side="right" index="17"/> + <driver_node type="CHANY" side="bottom" index="2"/> + <driver_node type="CHANY" side="bottom" index="10"/> + </CHANY> + <CHANY side="top" index="10" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="8"/> + </CHANY> + <CHANY side="top" index="12" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="10"/> + </CHANY> + <CHANY side="top" index="14" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="12"/> + </CHANY> + <CHANY side="top" index="16" mux_size="9"> + <driver_node type="OPIN" side="top" index="2"/> + <driver_node type="OPIN" side="top" index="5"/> + <driver_node type="OPIN" side="top" index="8"/> + <driver_node type="CHANX" side="right" index="1"/> + <driver_node type="CHANX" side="right" index="7"/> + <driver_node type="CHANX" side="right" index="13"/> + <driver_node type="CHANX" side="right" index="19"/> + <driver_node type="CHANY" side="bottom" index="4"/> + <driver_node type="CHANY" side="bottom" index="12"/> + </CHANY> + <CHANY side="top" index="18" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="16"/> + </CHANY> + <CHANX side="right" index="0" mux_size="1"> + <driver_node type="OPIN" side="right" index="0"/> + </CHANX> + <CHANX side="right" index="2" mux_size="3"> + <driver_node type="CHANY" side="top" index="1"/> + <driver_node type="CHANY" side="top" index="7"/> + <driver_node type="OPIN" side="right" index="1"/> + </CHANX> + <CHANX side="right" index="4" mux_size="3"> + <driver_node type="CHANY" side="top" index="3"/> + <driver_node type="CHANY" side="top" index="15"/> + <driver_node type="CHANY" side="bottom" index="16"/> + </CHANX> + <CHANX side="right" index="6" mux_size="3"> + <driver_node type="CHANY" side="top" index="5"/> + <driver_node type="CHANY" side="top" index="19"/> + <driver_node type="CHANY" side="bottom" index="12"/> + </CHANX> + <CHANX side="right" index="8" mux_size="2"> + <driver_node type="CHANY" side="top" index="9"/> + <driver_node type="CHANY" side="bottom" index="10"/> + </CHANX> + <CHANX side="right" index="10" mux_size="2"> + <driver_node type="CHANY" side="top" index="11"/> + <driver_node type="CHANY" side="bottom" index="8"/> + </CHANX> + <CHANX side="right" index="12" mux_size="3"> + <driver_node type="CHANY" side="top" index="13"/> + <driver_node type="CHANY" side="bottom" index="4"/> + <driver_node type="CHANY" side="bottom" index="18"/> + </CHANX> + <CHANX side="right" index="14" mux_size="3"> + <driver_node type="CHANY" side="top" index="17"/> + <driver_node type="CHANY" side="bottom" index="2"/> + <driver_node type="CHANY" side="bottom" index="14"/> + </CHANX> + <CHANX side="right" index="16" mux_size="2"> + <driver_node type="CHANY" side="bottom" index="0"/> + <driver_node type="CHANY" side="bottom" index="6"/> + </CHANX> + <CHANX side="right" index="18" mux_size="0"> + <driver_node type="CHANX" side="left" index="-1"/> + </CHANX> + <CHANY side="bottom" index="1" mux_size="9"> + <driver_node type="CHANY" side="top" index="1"/> + <driver_node type="CHANY" side="top" index="9"/> + <driver_node type="CHANY" side="top" index="17"/> + <driver_node type="CHANX" side="right" index="3"/> + <driver_node type="CHANX" side="right" index="9"/> + <driver_node type="CHANX" side="right" index="15"/> + <driver_node type="OPIN" side="bottom" index="0"/> + <driver_node type="OPIN" side="bottom" index="3"/> + <driver_node type="OPIN" side="bottom" index="6"/> + </CHANY> + <CHANY side="bottom" index="3" mux_size="0"> + <driver_node type="CHANY" side="top" index="1"/> + </CHANY> + <CHANY side="bottom" index="5" mux_size="0"> + <driver_node type="CHANY" side="top" index="3"/> + </CHANY> + <CHANY side="bottom" index="7" mux_size="0"> + <driver_node type="CHANY" side="top" index="5"/> + </CHANY> + <CHANY side="bottom" index="9" mux_size="9"> + <driver_node type="CHANY" side="top" index="3"/> + <driver_node type="CHANY" side="top" index="11"/> + <driver_node type="CHANX" side="right" index="1"/> + <driver_node type="CHANX" side="right" index="7"/> + <driver_node type="CHANX" side="right" index="13"/> + <driver_node type="CHANX" side="right" index="19"/> + <driver_node type="OPIN" side="bottom" index="1"/> + <driver_node type="OPIN" side="bottom" index="4"/> + <driver_node type="OPIN" side="bottom" index="7"/> + </CHANY> + <CHANY side="bottom" index="11" mux_size="0"> + <driver_node type="CHANY" side="top" index="9"/> + </CHANY> + <CHANY side="bottom" index="13" mux_size="0"> + <driver_node type="CHANY" side="top" index="11"/> + </CHANY> + <CHANY side="bottom" index="15" mux_size="0"> + <driver_node type="CHANY" side="top" index="13"/> + </CHANY> + <CHANY side="bottom" index="17" mux_size="8"> + <driver_node type="CHANY" side="top" index="5"/> + <driver_node type="CHANY" side="top" index="13"/> + <driver_node type="CHANX" side="right" index="5"/> + <driver_node type="CHANX" side="right" index="11"/> + <driver_node type="CHANX" side="right" index="17"/> + <driver_node type="OPIN" side="bottom" index="2"/> + <driver_node type="OPIN" side="bottom" index="5"/> + <driver_node type="OPIN" side="bottom" index="8"/> + </CHANY> + <CHANY side="bottom" index="19" mux_size="0"> + <driver_node type="CHANY" side="top" index="17"/> + </CHANY> +</rr_sb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_0__3_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_0__3_.xml new file mode 100644 index 000000000..16bc1c606 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_0__3_.xml @@ -0,0 +1,151 @@ +<rr_sb x="0" y="3" num_sides="4"> + <CHANY side="top" index="0" mux_size="9"> + <driver_node type="OPIN" side="top" index="0"/> + <driver_node type="OPIN" side="top" index="3"/> + <driver_node type="OPIN" side="top" index="6"/> + <driver_node type="CHANX" side="right" index="3"/> + <driver_node type="CHANX" side="right" index="9"/> + <driver_node type="CHANX" side="right" index="15"/> + <driver_node type="CHANY" side="bottom" index="0"/> + <driver_node type="CHANY" side="bottom" index="8"/> + <driver_node type="CHANY" side="bottom" index="16"/> + </CHANY> + <CHANY side="top" index="2" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="0"/> + </CHANY> + <CHANY side="top" index="4" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="2"/> + </CHANY> + <CHANY side="top" index="6" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="4"/> + </CHANY> + <CHANY side="top" index="8" mux_size="8"> + <driver_node type="OPIN" side="top" index="1"/> + <driver_node type="OPIN" side="top" index="4"/> + <driver_node type="OPIN" side="top" index="7"/> + <driver_node type="CHANX" side="right" index="5"/> + <driver_node type="CHANX" side="right" index="11"/> + <driver_node type="CHANX" side="right" index="17"/> + <driver_node type="CHANY" side="bottom" index="2"/> + <driver_node type="CHANY" side="bottom" index="10"/> + </CHANY> + <CHANY side="top" index="10" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="8"/> + </CHANY> + <CHANY side="top" index="12" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="10"/> + </CHANY> + <CHANY side="top" index="14" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="12"/> + </CHANY> + <CHANY side="top" index="16" mux_size="9"> + <driver_node type="OPIN" side="top" index="2"/> + <driver_node type="OPIN" side="top" index="5"/> + <driver_node type="OPIN" side="top" index="8"/> + <driver_node type="CHANX" side="right" index="1"/> + <driver_node type="CHANX" side="right" index="7"/> + <driver_node type="CHANX" side="right" index="13"/> + <driver_node type="CHANX" side="right" index="19"/> + <driver_node type="CHANY" side="bottom" index="4"/> + <driver_node type="CHANY" side="bottom" index="12"/> + </CHANY> + <CHANY side="top" index="18" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="16"/> + </CHANY> + <CHANX side="right" index="0" mux_size="1"> + <driver_node type="OPIN" side="right" index="0"/> + </CHANX> + <CHANX side="right" index="2" mux_size="3"> + <driver_node type="CHANY" side="top" index="1"/> + <driver_node type="CHANY" side="top" index="7"/> + <driver_node type="OPIN" side="right" index="1"/> + </CHANX> + <CHANX side="right" index="4" mux_size="3"> + <driver_node type="CHANY" side="top" index="3"/> + <driver_node type="CHANY" side="top" index="15"/> + <driver_node type="CHANY" side="bottom" index="16"/> + </CHANX> + <CHANX side="right" index="6" mux_size="3"> + <driver_node type="CHANY" side="top" index="5"/> + <driver_node type="CHANY" side="top" index="19"/> + <driver_node type="CHANY" side="bottom" index="12"/> + </CHANX> + <CHANX side="right" index="8" mux_size="2"> + <driver_node type="CHANY" side="top" index="9"/> + <driver_node type="CHANY" side="bottom" index="10"/> + </CHANX> + <CHANX side="right" index="10" mux_size="2"> + <driver_node type="CHANY" side="top" index="11"/> + <driver_node type="CHANY" side="bottom" index="8"/> + </CHANX> + <CHANX side="right" index="12" mux_size="3"> + <driver_node type="CHANY" side="top" index="13"/> + <driver_node type="CHANY" side="bottom" index="4"/> + <driver_node type="CHANY" side="bottom" index="18"/> + </CHANX> + <CHANX side="right" index="14" mux_size="3"> + <driver_node type="CHANY" side="top" index="17"/> + <driver_node type="CHANY" side="bottom" index="2"/> + <driver_node type="CHANY" side="bottom" index="14"/> + </CHANX> + <CHANX side="right" index="16" mux_size="2"> + <driver_node type="CHANY" side="bottom" index="0"/> + <driver_node type="CHANY" side="bottom" index="6"/> + </CHANX> + <CHANX side="right" index="18" mux_size="0"> + <driver_node type="CHANX" side="left" index="-1"/> + </CHANX> + <CHANY side="bottom" index="1" mux_size="9"> + <driver_node type="CHANY" side="top" index="1"/> + <driver_node type="CHANY" side="top" index="9"/> + <driver_node type="CHANY" side="top" index="17"/> + <driver_node type="CHANX" side="right" index="3"/> + <driver_node type="CHANX" side="right" index="9"/> + <driver_node type="CHANX" side="right" index="15"/> + <driver_node type="OPIN" side="bottom" index="0"/> + <driver_node type="OPIN" side="bottom" index="3"/> + <driver_node type="OPIN" side="bottom" index="6"/> + </CHANY> + <CHANY side="bottom" index="3" mux_size="0"> + <driver_node type="CHANY" side="top" index="1"/> + </CHANY> + <CHANY side="bottom" index="5" mux_size="0"> + <driver_node type="CHANY" side="top" index="3"/> + </CHANY> + <CHANY side="bottom" index="7" mux_size="0"> + <driver_node type="CHANY" side="top" index="5"/> + </CHANY> + <CHANY side="bottom" index="9" mux_size="9"> + <driver_node type="CHANY" side="top" index="3"/> + <driver_node type="CHANY" side="top" index="11"/> + <driver_node type="CHANX" side="right" index="1"/> + <driver_node type="CHANX" side="right" index="7"/> + <driver_node type="CHANX" side="right" index="13"/> + <driver_node type="CHANX" side="right" index="19"/> + <driver_node type="OPIN" side="bottom" index="1"/> + <driver_node type="OPIN" side="bottom" index="4"/> + <driver_node type="OPIN" side="bottom" index="7"/> + </CHANY> + <CHANY side="bottom" index="11" mux_size="0"> + <driver_node type="CHANY" side="top" index="9"/> + </CHANY> + <CHANY side="bottom" index="13" mux_size="0"> + <driver_node type="CHANY" side="top" index="11"/> + </CHANY> + <CHANY side="bottom" index="15" mux_size="0"> + <driver_node type="CHANY" side="top" index="13"/> + </CHANY> + <CHANY side="bottom" index="17" mux_size="8"> + <driver_node type="CHANY" side="top" index="5"/> + <driver_node type="CHANY" side="top" index="13"/> + <driver_node type="CHANX" side="right" index="5"/> + <driver_node type="CHANX" side="right" index="11"/> + <driver_node type="CHANX" side="right" index="17"/> + <driver_node type="OPIN" side="bottom" index="2"/> + <driver_node type="OPIN" side="bottom" index="5"/> + <driver_node type="OPIN" side="bottom" index="8"/> + </CHANY> + <CHANY side="bottom" index="19" mux_size="0"> + <driver_node type="CHANY" side="top" index="17"/> + </CHANY> +</rr_sb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_0__4_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_0__4_.xml new file mode 100644 index 000000000..96ab9c54d --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_0__4_.xml @@ -0,0 +1,80 @@ +<rr_sb x="0" y="4" num_sides="4"> + <CHANX side="right" index="0" mux_size="2"> + <driver_node type="OPIN" side="right" index="0"/> + <driver_node type="CHANY" side="bottom" index="16"/> + </CHANX> + <CHANX side="right" index="2" mux_size="2"> + <driver_node type="OPIN" side="right" index="1"/> + <driver_node type="CHANY" side="bottom" index="14"/> + </CHANX> + <CHANX side="right" index="4" mux_size="2"> + <driver_node type="OPIN" side="right" index="2"/> + <driver_node type="CHANY" side="bottom" index="12"/> + </CHANX> + <CHANX side="right" index="6" mux_size="2"> + <driver_node type="OPIN" side="right" index="3"/> + <driver_node type="CHANY" side="bottom" index="10"/> + </CHANX> + <CHANX side="right" index="8" mux_size="2"> + <driver_node type="OPIN" side="right" index="4"/> + <driver_node type="CHANY" side="bottom" index="8"/> + </CHANX> + <CHANX side="right" index="10" mux_size="2"> + <driver_node type="OPIN" side="right" index="5"/> + <driver_node type="CHANY" side="bottom" index="6"/> + </CHANX> + <CHANX side="right" index="12" mux_size="2"> + <driver_node type="OPIN" side="right" index="6"/> + <driver_node type="CHANY" side="bottom" index="4"/> + </CHANX> + <CHANX side="right" index="14" mux_size="2"> + <driver_node type="OPIN" side="right" index="7"/> + <driver_node type="CHANY" side="bottom" index="2"/> + </CHANX> + <CHANX side="right" index="16" mux_size="2"> + <driver_node type="OPIN" side="right" index="8"/> + <driver_node type="CHANY" side="bottom" index="0"/> + </CHANX> + <CHANX side="right" index="18" mux_size="1"> + <driver_node type="CHANY" side="bottom" index="18"/> + </CHANX> + <CHANY side="bottom" index="1" mux_size="2"> + <driver_node type="CHANX" side="right" index="17"/> + <driver_node type="OPIN" side="bottom" index="0"/> + </CHANY> + <CHANY side="bottom" index="3" mux_size="2"> + <driver_node type="CHANX" side="right" index="15"/> + <driver_node type="OPIN" side="bottom" index="1"/> + </CHANY> + <CHANY side="bottom" index="5" mux_size="2"> + <driver_node type="CHANX" side="right" index="13"/> + <driver_node type="OPIN" side="bottom" index="2"/> + </CHANY> + <CHANY side="bottom" index="7" mux_size="2"> + <driver_node type="CHANX" side="right" index="11"/> + <driver_node type="OPIN" side="bottom" index="3"/> + </CHANY> + <CHANY side="bottom" index="9" mux_size="2"> + <driver_node type="CHANX" side="right" index="9"/> + <driver_node type="OPIN" side="bottom" index="4"/> + </CHANY> + <CHANY side="bottom" index="11" mux_size="2"> + <driver_node type="CHANX" side="right" index="7"/> + <driver_node type="OPIN" side="bottom" index="5"/> + </CHANY> + <CHANY side="bottom" index="13" mux_size="2"> + <driver_node type="CHANX" side="right" index="5"/> + <driver_node type="OPIN" side="bottom" index="6"/> + </CHANY> + <CHANY side="bottom" index="15" mux_size="2"> + <driver_node type="CHANX" side="right" index="3"/> + <driver_node type="OPIN" side="bottom" index="7"/> + </CHANY> + <CHANY side="bottom" index="17" mux_size="2"> + <driver_node type="CHANX" side="right" index="1"/> + <driver_node type="OPIN" side="bottom" index="8"/> + </CHANY> + <CHANY side="bottom" index="19" mux_size="1"> + <driver_node type="CHANX" side="right" index="19"/> + </CHANY> +</rr_sb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_1__0_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_1__0_.xml new file mode 100644 index 000000000..f0f053af6 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_1__0_.xml @@ -0,0 +1,150 @@ +<rr_sb x="1" y="0" num_sides="4"> + <CHANY side="top" index="0" mux_size="5"> + <driver_node type="OPIN" side="top" index="0"/> + <driver_node type="CHANX" side="right" index="3"/> + <driver_node type="CHANX" side="right" index="15"/> + <driver_node type="CHANX" side="left" index="0"/> + <driver_node type="CHANX" side="left" index="6"/> + </CHANY> + <CHANY side="top" index="2" mux_size="3"> + <driver_node type="OPIN" side="top" index="1"/> + <driver_node type="CHANX" side="right" index="5"/> + <driver_node type="CHANX" side="right" index="19"/> + </CHANY> + <CHANY side="top" index="4" mux_size="1"> + <driver_node type="CHANX" side="right" index="9"/> + </CHANY> + <CHANY side="top" index="6" mux_size="1"> + <driver_node type="CHANX" side="right" index="11"/> + </CHANY> + <CHANY side="top" index="8" mux_size="2"> + <driver_node type="CHANX" side="right" index="13"/> + <driver_node type="CHANX" side="left" index="16"/> + </CHANY> + <CHANY side="top" index="10" mux_size="2"> + <driver_node type="CHANX" side="right" index="17"/> + <driver_node type="CHANX" side="left" index="12"/> + </CHANY> + <CHANY side="top" index="12" mux_size="1"> + <driver_node type="CHANX" side="left" index="10"/> + </CHANY> + <CHANY side="top" index="14" mux_size="1"> + <driver_node type="CHANX" side="left" index="8"/> + </CHANY> + <CHANY side="top" index="16" mux_size="2"> + <driver_node type="CHANX" side="left" index="4"/> + <driver_node type="CHANX" side="left" index="18"/> + </CHANY> + <CHANY side="top" index="18" mux_size="4"> + <driver_node type="CHANX" side="right" index="1"/> + <driver_node type="CHANX" side="right" index="7"/> + <driver_node type="CHANX" side="left" index="2"/> + <driver_node type="CHANX" side="left" index="14"/> + </CHANY> + <CHANX side="right" index="0" mux_size="9"> + <driver_node type="CHANY" side="top" index="5"/> + <driver_node type="CHANY" side="top" index="11"/> + <driver_node type="CHANY" side="top" index="17"/> + <driver_node type="OPIN" side="right" index="0"/> + <driver_node type="OPIN" side="right" index="3"/> + <driver_node type="OPIN" side="right" index="6"/> + <driver_node type="CHANX" side="left" index="0"/> + <driver_node type="CHANX" side="left" index="8"/> + <driver_node type="CHANX" side="left" index="16"/> + </CHANX> + <CHANX side="right" index="2" mux_size="0"> + <driver_node type="CHANX" side="left" index="0"/> + </CHANX> + <CHANX side="right" index="4" mux_size="0"> + <driver_node type="CHANX" side="left" index="2"/> + </CHANX> + <CHANX side="right" index="6" mux_size="0"> + <driver_node type="CHANX" side="left" index="4"/> + </CHANX> + <CHANX side="right" index="8" mux_size="9"> + <driver_node type="CHANY" side="top" index="1"/> + <driver_node type="CHANY" side="top" index="7"/> + <driver_node type="CHANY" side="top" index="13"/> + <driver_node type="CHANY" side="top" index="19"/> + <driver_node type="OPIN" side="right" index="1"/> + <driver_node type="OPIN" side="right" index="4"/> + <driver_node type="OPIN" side="right" index="7"/> + <driver_node type="CHANX" side="left" index="2"/> + <driver_node type="CHANX" side="left" index="10"/> + </CHANX> + <CHANX side="right" index="10" mux_size="0"> + <driver_node type="CHANX" side="left" index="8"/> + </CHANX> + <CHANX side="right" index="12" mux_size="0"> + <driver_node type="CHANX" side="left" index="10"/> + </CHANX> + <CHANX side="right" index="14" mux_size="0"> + <driver_node type="CHANX" side="left" index="12"/> + </CHANX> + <CHANX side="right" index="16" mux_size="8"> + <driver_node type="CHANY" side="top" index="3"/> + <driver_node type="CHANY" side="top" index="9"/> + <driver_node type="CHANY" side="top" index="15"/> + <driver_node type="OPIN" side="right" index="2"/> + <driver_node type="OPIN" side="right" index="5"/> + <driver_node type="OPIN" side="right" index="8"/> + <driver_node type="CHANX" side="left" index="4"/> + <driver_node type="CHANX" side="left" index="12"/> + </CHANX> + <CHANX side="right" index="18" mux_size="0"> + <driver_node type="CHANX" side="left" index="16"/> + </CHANX> + <CHANX side="left" index="1" mux_size="10"> + <driver_node type="CHANY" side="top" index="1"/> + <driver_node type="CHANY" side="top" index="7"/> + <driver_node type="CHANY" side="top" index="13"/> + <driver_node type="CHANY" side="top" index="19"/> + <driver_node type="CHANX" side="right" index="1"/> + <driver_node type="CHANX" side="right" index="9"/> + <driver_node type="CHANX" side="right" index="17"/> + <driver_node type="OPIN" side="left" index="0"/> + <driver_node type="OPIN" side="left" index="3"/> + <driver_node type="OPIN" side="left" index="6"/> + </CHANX> + <CHANX side="left" index="3" mux_size="0"> + <driver_node type="CHANX" side="right" index="1"/> + </CHANX> + <CHANX side="left" index="5" mux_size="0"> + <driver_node type="CHANX" side="right" index="3"/> + </CHANX> + <CHANX side="left" index="7" mux_size="0"> + <driver_node type="CHANX" side="right" index="5"/> + </CHANX> + <CHANX side="left" index="9" mux_size="8"> + <driver_node type="CHANY" side="top" index="5"/> + <driver_node type="CHANY" side="top" index="11"/> + <driver_node type="CHANY" side="top" index="17"/> + <driver_node type="CHANX" side="right" index="3"/> + <driver_node type="CHANX" side="right" index="11"/> + <driver_node type="OPIN" side="left" index="1"/> + <driver_node type="OPIN" side="left" index="4"/> + <driver_node type="OPIN" side="left" index="7"/> + </CHANX> + <CHANX side="left" index="11" mux_size="0"> + <driver_node type="CHANX" side="right" index="9"/> + </CHANX> + <CHANX side="left" index="13" mux_size="0"> + <driver_node type="CHANX" side="right" index="11"/> + </CHANX> + <CHANX side="left" index="15" mux_size="0"> + <driver_node type="CHANX" side="right" index="13"/> + </CHANX> + <CHANX side="left" index="17" mux_size="8"> + <driver_node type="CHANY" side="top" index="3"/> + <driver_node type="CHANY" side="top" index="9"/> + <driver_node type="CHANY" side="top" index="15"/> + <driver_node type="CHANX" side="right" index="5"/> + <driver_node type="CHANX" side="right" index="13"/> + <driver_node type="OPIN" side="left" index="2"/> + <driver_node type="OPIN" side="left" index="5"/> + <driver_node type="OPIN" side="left" index="8"/> + </CHANX> + <CHANX side="left" index="19" mux_size="0"> + <driver_node type="CHANX" side="right" index="17"/> + </CHANX> +</rr_sb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_1__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_1__1_.xml new file mode 100644 index 000000000..f6bc83b70 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_1__1_.xml @@ -0,0 +1,226 @@ +<rr_sb x="1" y="1" num_sides="4"> + <CHANY side="top" index="0" mux_size="11"> + <driver_node type="OPIN" side="top" index="0"/> + <driver_node type="CHANX" side="right" index="3"/> + <driver_node type="CHANX" side="right" index="11"/> + <driver_node type="CHANX" side="right" index="15"/> + <driver_node type="CHANY" side="bottom" index="0"/> + <driver_node type="CHANY" side="bottom" index="8"/> + <driver_node type="CHANY" side="bottom" index="16"/> + <driver_node type="CHANX" side="left" index="0"/> + <driver_node type="CHANX" side="left" index="6"/> + <driver_node type="CHANX" side="left" index="8"/> + <driver_node type="CHANX" side="left" index="16"/> + </CHANY> + <CHANY side="top" index="2" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="0"/> + </CHANY> + <CHANY side="top" index="4" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="2"/> + </CHANY> + <CHANY side="top" index="6" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="4"/> + </CHANY> + <CHANY side="top" index="8" mux_size="9"> + <driver_node type="OPIN" side="top" index="1"/> + <driver_node type="CHANX" side="right" index="5"/> + <driver_node type="CHANX" side="right" index="13"/> + <driver_node type="CHANX" side="right" index="19"/> + <driver_node type="CHANY" side="bottom" index="2"/> + <driver_node type="CHANY" side="bottom" index="10"/> + <driver_node type="CHANX" side="left" index="4"/> + <driver_node type="CHANX" side="left" index="12"/> + <driver_node type="CHANX" side="left" index="18"/> + </CHANY> + <CHANY side="top" index="10" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="8"/> + </CHANY> + <CHANY side="top" index="12" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="10"/> + </CHANY> + <CHANY side="top" index="14" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="12"/> + </CHANY> + <CHANY side="top" index="16" mux_size="9"> + <driver_node type="CHANX" side="right" index="1"/> + <driver_node type="CHANX" side="right" index="7"/> + <driver_node type="CHANX" side="right" index="9"/> + <driver_node type="CHANX" side="right" index="17"/> + <driver_node type="CHANY" side="bottom" index="4"/> + <driver_node type="CHANY" side="bottom" index="12"/> + <driver_node type="CHANX" side="left" index="2"/> + <driver_node type="CHANX" side="left" index="10"/> + <driver_node type="CHANX" side="left" index="14"/> + </CHANY> + <CHANY side="top" index="18" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="16"/> + </CHANY> + <CHANX side="right" index="0" mux_size="10"> + <driver_node type="CHANY" side="top" index="5"/> + <driver_node type="CHANY" side="top" index="13"/> + <driver_node type="CHANY" side="top" index="19"/> + <driver_node type="OPIN" side="right" index="0"/> + <driver_node type="CHANY" side="bottom" index="2"/> + <driver_node type="CHANY" side="bottom" index="10"/> + <driver_node type="CHANY" side="bottom" index="14"/> + <driver_node type="CHANX" side="left" index="0"/> + <driver_node type="CHANX" side="left" index="8"/> + <driver_node type="CHANX" side="left" index="16"/> + </CHANX> + <CHANX side="right" index="2" mux_size="0"> + <driver_node type="CHANX" side="left" index="0"/> + </CHANX> + <CHANX side="right" index="4" mux_size="0"> + <driver_node type="CHANX" side="left" index="2"/> + </CHANX> + <CHANX side="right" index="6" mux_size="0"> + <driver_node type="CHANX" side="left" index="4"/> + </CHANX> + <CHANX side="right" index="8" mux_size="11"> + <driver_node type="CHANY" side="top" index="1"/> + <driver_node type="CHANY" side="top" index="7"/> + <driver_node type="CHANY" side="top" index="9"/> + <driver_node type="CHANY" side="top" index="17"/> + <driver_node type="OPIN" side="right" index="1"/> + <driver_node type="CHANY" side="bottom" index="0"/> + <driver_node type="CHANY" side="bottom" index="6"/> + <driver_node type="CHANY" side="bottom" index="8"/> + <driver_node type="CHANY" side="bottom" index="16"/> + <driver_node type="CHANX" side="left" index="2"/> + <driver_node type="CHANX" side="left" index="10"/> + </CHANX> + <CHANX side="right" index="10" mux_size="0"> + <driver_node type="CHANX" side="left" index="8"/> + </CHANX> + <CHANX side="right" index="12" mux_size="0"> + <driver_node type="CHANX" side="left" index="10"/> + </CHANX> + <CHANX side="right" index="14" mux_size="0"> + <driver_node type="CHANX" side="left" index="12"/> + </CHANX> + <CHANX side="right" index="16" mux_size="8"> + <driver_node type="CHANY" side="top" index="3"/> + <driver_node type="CHANY" side="top" index="11"/> + <driver_node type="CHANY" side="top" index="15"/> + <driver_node type="CHANY" side="bottom" index="4"/> + <driver_node type="CHANY" side="bottom" index="12"/> + <driver_node type="CHANY" side="bottom" index="18"/> + <driver_node type="CHANX" side="left" index="4"/> + <driver_node type="CHANX" side="left" index="12"/> + </CHANX> + <CHANX side="right" index="18" mux_size="0"> + <driver_node type="CHANX" side="left" index="16"/> + </CHANX> + <CHANY side="bottom" index="1" mux_size="10"> + <driver_node type="CHANY" side="top" index="1"/> + <driver_node type="CHANY" side="top" index="9"/> + <driver_node type="CHANY" side="top" index="17"/> + <driver_node type="CHANX" side="right" index="3"/> + <driver_node type="CHANX" side="right" index="11"/> + <driver_node type="CHANX" side="right" index="15"/> + <driver_node type="OPIN" side="bottom" index="0"/> + <driver_node type="CHANX" side="left" index="2"/> + <driver_node type="CHANX" side="left" index="10"/> + <driver_node type="CHANX" side="left" index="14"/> + </CHANY> + <CHANY side="bottom" index="3" mux_size="0"> + <driver_node type="CHANY" side="top" index="1"/> + </CHANY> + <CHANY side="bottom" index="5" mux_size="0"> + <driver_node type="CHANY" side="top" index="3"/> + </CHANY> + <CHANY side="bottom" index="7" mux_size="0"> + <driver_node type="CHANY" side="top" index="5"/> + </CHANY> + <CHANY side="bottom" index="9" mux_size="10"> + <driver_node type="CHANY" side="top" index="3"/> + <driver_node type="CHANY" side="top" index="11"/> + <driver_node type="CHANX" side="right" index="1"/> + <driver_node type="CHANX" side="right" index="7"/> + <driver_node type="CHANX" side="right" index="9"/> + <driver_node type="CHANX" side="right" index="17"/> + <driver_node type="OPIN" side="bottom" index="1"/> + <driver_node type="CHANX" side="left" index="4"/> + <driver_node type="CHANX" side="left" index="12"/> + <driver_node type="CHANX" side="left" index="18"/> + </CHANY> + <CHANY side="bottom" index="11" mux_size="0"> + <driver_node type="CHANY" side="top" index="9"/> + </CHANY> + <CHANY side="bottom" index="13" mux_size="0"> + <driver_node type="CHANY" side="top" index="11"/> + </CHANY> + <CHANY side="bottom" index="15" mux_size="0"> + <driver_node type="CHANY" side="top" index="13"/> + </CHANY> + <CHANY side="bottom" index="17" mux_size="9"> + <driver_node type="CHANY" side="top" index="5"/> + <driver_node type="CHANY" side="top" index="13"/> + <driver_node type="CHANX" side="right" index="5"/> + <driver_node type="CHANX" side="right" index="13"/> + <driver_node type="CHANX" side="right" index="19"/> + <driver_node type="CHANX" side="left" index="0"/> + <driver_node type="CHANX" side="left" index="6"/> + <driver_node type="CHANX" side="left" index="8"/> + <driver_node type="CHANX" side="left" index="16"/> + </CHANY> + <CHANY side="bottom" index="19" mux_size="0"> + <driver_node type="CHANY" side="top" index="17"/> + </CHANY> + <CHANX side="left" index="1" mux_size="11"> + <driver_node type="CHANY" side="top" index="1"/> + <driver_node type="CHANY" side="top" index="7"/> + <driver_node type="CHANY" side="top" index="9"/> + <driver_node type="CHANY" side="top" index="17"/> + <driver_node type="CHANX" side="right" index="1"/> + <driver_node type="CHANX" side="right" index="9"/> + <driver_node type="CHANX" side="right" index="17"/> + <driver_node type="CHANY" side="bottom" index="4"/> + <driver_node type="CHANY" side="bottom" index="12"/> + <driver_node type="CHANY" side="bottom" index="18"/> + <driver_node type="OPIN" side="left" index="0"/> + </CHANX> + <CHANX side="left" index="3" mux_size="0"> + <driver_node type="CHANX" side="right" index="1"/> + </CHANX> + <CHANX side="left" index="5" mux_size="0"> + <driver_node type="CHANX" side="right" index="3"/> + </CHANX> + <CHANX side="left" index="7" mux_size="0"> + <driver_node type="CHANX" side="right" index="5"/> + </CHANX> + <CHANX side="left" index="9" mux_size="10"> + <driver_node type="CHANY" side="top" index="5"/> + <driver_node type="CHANY" side="top" index="13"/> + <driver_node type="CHANY" side="top" index="19"/> + <driver_node type="CHANX" side="right" index="3"/> + <driver_node type="CHANX" side="right" index="11"/> + <driver_node type="CHANY" side="bottom" index="0"/> + <driver_node type="CHANY" side="bottom" index="6"/> + <driver_node type="CHANY" side="bottom" index="8"/> + <driver_node type="CHANY" side="bottom" index="16"/> + <driver_node type="OPIN" side="left" index="1"/> + </CHANX> + <CHANX side="left" index="11" mux_size="0"> + <driver_node type="CHANX" side="right" index="9"/> + </CHANX> + <CHANX side="left" index="13" mux_size="0"> + <driver_node type="CHANX" side="right" index="11"/> + </CHANX> + <CHANX side="left" index="15" mux_size="0"> + <driver_node type="CHANX" side="right" index="13"/> + </CHANX> + <CHANX side="left" index="17" mux_size="8"> + <driver_node type="CHANY" side="top" index="3"/> + <driver_node type="CHANY" side="top" index="11"/> + <driver_node type="CHANY" side="top" index="15"/> + <driver_node type="CHANX" side="right" index="5"/> + <driver_node type="CHANX" side="right" index="13"/> + <driver_node type="CHANY" side="bottom" index="2"/> + <driver_node type="CHANY" side="bottom" index="10"/> + <driver_node type="CHANY" side="bottom" index="14"/> + </CHANX> + <CHANX side="left" index="19" mux_size="0"> + <driver_node type="CHANX" side="right" index="17"/> + </CHANX> +</rr_sb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_1__2_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_1__2_.xml new file mode 100644 index 000000000..1beb5a056 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_1__2_.xml @@ -0,0 +1,226 @@ +<rr_sb x="1" y="2" num_sides="4"> + <CHANY side="top" index="0" mux_size="11"> + <driver_node type="OPIN" side="top" index="0"/> + <driver_node type="CHANX" side="right" index="3"/> + <driver_node type="CHANX" side="right" index="11"/> + <driver_node type="CHANX" side="right" index="15"/> + <driver_node type="CHANY" side="bottom" index="0"/> + <driver_node type="CHANY" side="bottom" index="8"/> + <driver_node type="CHANY" side="bottom" index="16"/> + <driver_node type="CHANX" side="left" index="0"/> + <driver_node type="CHANX" side="left" index="6"/> + <driver_node type="CHANX" side="left" index="8"/> + <driver_node type="CHANX" side="left" index="16"/> + </CHANY> + <CHANY side="top" index="2" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="0"/> + </CHANY> + <CHANY side="top" index="4" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="2"/> + </CHANY> + <CHANY side="top" index="6" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="4"/> + </CHANY> + <CHANY side="top" index="8" mux_size="9"> + <driver_node type="OPIN" side="top" index="1"/> + <driver_node type="CHANX" side="right" index="5"/> + <driver_node type="CHANX" side="right" index="13"/> + <driver_node type="CHANX" side="right" index="19"/> + <driver_node type="CHANY" side="bottom" index="2"/> + <driver_node type="CHANY" side="bottom" index="10"/> + <driver_node type="CHANX" side="left" index="4"/> + <driver_node type="CHANX" side="left" index="12"/> + <driver_node type="CHANX" side="left" index="18"/> + </CHANY> + <CHANY side="top" index="10" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="8"/> + </CHANY> + <CHANY side="top" index="12" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="10"/> + </CHANY> + <CHANY side="top" index="14" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="12"/> + </CHANY> + <CHANY side="top" index="16" mux_size="9"> + <driver_node type="CHANX" side="right" index="1"/> + <driver_node type="CHANX" side="right" index="7"/> + <driver_node type="CHANX" side="right" index="9"/> + <driver_node type="CHANX" side="right" index="17"/> + <driver_node type="CHANY" side="bottom" index="4"/> + <driver_node type="CHANY" side="bottom" index="12"/> + <driver_node type="CHANX" side="left" index="2"/> + <driver_node type="CHANX" side="left" index="10"/> + <driver_node type="CHANX" side="left" index="14"/> + </CHANY> + <CHANY side="top" index="18" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="16"/> + </CHANY> + <CHANX side="right" index="0" mux_size="10"> + <driver_node type="CHANY" side="top" index="5"/> + <driver_node type="CHANY" side="top" index="13"/> + <driver_node type="CHANY" side="top" index="19"/> + <driver_node type="OPIN" side="right" index="0"/> + <driver_node type="CHANY" side="bottom" index="2"/> + <driver_node type="CHANY" side="bottom" index="10"/> + <driver_node type="CHANY" side="bottom" index="14"/> + <driver_node type="CHANX" side="left" index="0"/> + <driver_node type="CHANX" side="left" index="8"/> + <driver_node type="CHANX" side="left" index="16"/> + </CHANX> + <CHANX side="right" index="2" mux_size="0"> + <driver_node type="CHANX" side="left" index="0"/> + </CHANX> + <CHANX side="right" index="4" mux_size="0"> + <driver_node type="CHANX" side="left" index="2"/> + </CHANX> + <CHANX side="right" index="6" mux_size="0"> + <driver_node type="CHANX" side="left" index="4"/> + </CHANX> + <CHANX side="right" index="8" mux_size="11"> + <driver_node type="CHANY" side="top" index="1"/> + <driver_node type="CHANY" side="top" index="7"/> + <driver_node type="CHANY" side="top" index="9"/> + <driver_node type="CHANY" side="top" index="17"/> + <driver_node type="OPIN" side="right" index="1"/> + <driver_node type="CHANY" side="bottom" index="0"/> + <driver_node type="CHANY" side="bottom" index="6"/> + <driver_node type="CHANY" side="bottom" index="8"/> + <driver_node type="CHANY" side="bottom" index="16"/> + <driver_node type="CHANX" side="left" index="2"/> + <driver_node type="CHANX" side="left" index="10"/> + </CHANX> + <CHANX side="right" index="10" mux_size="0"> + <driver_node type="CHANX" side="left" index="8"/> + </CHANX> + <CHANX side="right" index="12" mux_size="0"> + <driver_node type="CHANX" side="left" index="10"/> + </CHANX> + <CHANX side="right" index="14" mux_size="0"> + <driver_node type="CHANX" side="left" index="12"/> + </CHANX> + <CHANX side="right" index="16" mux_size="8"> + <driver_node type="CHANY" side="top" index="3"/> + <driver_node type="CHANY" side="top" index="11"/> + <driver_node type="CHANY" side="top" index="15"/> + <driver_node type="CHANY" side="bottom" index="4"/> + <driver_node type="CHANY" side="bottom" index="12"/> + <driver_node type="CHANY" side="bottom" index="18"/> + <driver_node type="CHANX" side="left" index="4"/> + <driver_node type="CHANX" side="left" index="12"/> + </CHANX> + <CHANX side="right" index="18" mux_size="0"> + <driver_node type="CHANX" side="left" index="16"/> + </CHANX> + <CHANY side="bottom" index="1" mux_size="10"> + <driver_node type="CHANY" side="top" index="1"/> + <driver_node type="CHANY" side="top" index="9"/> + <driver_node type="CHANY" side="top" index="17"/> + <driver_node type="CHANX" side="right" index="3"/> + <driver_node type="CHANX" side="right" index="11"/> + <driver_node type="CHANX" side="right" index="15"/> + <driver_node type="OPIN" side="bottom" index="0"/> + <driver_node type="CHANX" side="left" index="2"/> + <driver_node type="CHANX" side="left" index="10"/> + <driver_node type="CHANX" side="left" index="14"/> + </CHANY> + <CHANY side="bottom" index="3" mux_size="0"> + <driver_node type="CHANY" side="top" index="1"/> + </CHANY> + <CHANY side="bottom" index="5" mux_size="0"> + <driver_node type="CHANY" side="top" index="3"/> + </CHANY> + <CHANY side="bottom" index="7" mux_size="0"> + <driver_node type="CHANY" side="top" index="5"/> + </CHANY> + <CHANY side="bottom" index="9" mux_size="10"> + <driver_node type="CHANY" side="top" index="3"/> + <driver_node type="CHANY" side="top" index="11"/> + <driver_node type="CHANX" side="right" index="1"/> + <driver_node type="CHANX" side="right" index="7"/> + <driver_node type="CHANX" side="right" index="9"/> + <driver_node type="CHANX" side="right" index="17"/> + <driver_node type="OPIN" side="bottom" index="1"/> + <driver_node type="CHANX" side="left" index="4"/> + <driver_node type="CHANX" side="left" index="12"/> + <driver_node type="CHANX" side="left" index="18"/> + </CHANY> + <CHANY side="bottom" index="11" mux_size="0"> + <driver_node type="CHANY" side="top" index="9"/> + </CHANY> + <CHANY side="bottom" index="13" mux_size="0"> + <driver_node type="CHANY" side="top" index="11"/> + </CHANY> + <CHANY side="bottom" index="15" mux_size="0"> + <driver_node type="CHANY" side="top" index="13"/> + </CHANY> + <CHANY side="bottom" index="17" mux_size="9"> + <driver_node type="CHANY" side="top" index="5"/> + <driver_node type="CHANY" side="top" index="13"/> + <driver_node type="CHANX" side="right" index="5"/> + <driver_node type="CHANX" side="right" index="13"/> + <driver_node type="CHANX" side="right" index="19"/> + <driver_node type="CHANX" side="left" index="0"/> + <driver_node type="CHANX" side="left" index="6"/> + <driver_node type="CHANX" side="left" index="8"/> + <driver_node type="CHANX" side="left" index="16"/> + </CHANY> + <CHANY side="bottom" index="19" mux_size="0"> + <driver_node type="CHANY" side="top" index="17"/> + </CHANY> + <CHANX side="left" index="1" mux_size="11"> + <driver_node type="CHANY" side="top" index="1"/> + <driver_node type="CHANY" side="top" index="7"/> + <driver_node type="CHANY" side="top" index="9"/> + <driver_node type="CHANY" side="top" index="17"/> + <driver_node type="CHANX" side="right" index="1"/> + <driver_node type="CHANX" side="right" index="9"/> + <driver_node type="CHANX" side="right" index="17"/> + <driver_node type="CHANY" side="bottom" index="4"/> + <driver_node type="CHANY" side="bottom" index="12"/> + <driver_node type="CHANY" side="bottom" index="18"/> + <driver_node type="OPIN" side="left" index="0"/> + </CHANX> + <CHANX side="left" index="3" mux_size="0"> + <driver_node type="CHANX" side="right" index="1"/> + </CHANX> + <CHANX side="left" index="5" mux_size="0"> + <driver_node type="CHANX" side="right" index="3"/> + </CHANX> + <CHANX side="left" index="7" mux_size="0"> + <driver_node type="CHANX" side="right" index="5"/> + </CHANX> + <CHANX side="left" index="9" mux_size="10"> + <driver_node type="CHANY" side="top" index="5"/> + <driver_node type="CHANY" side="top" index="13"/> + <driver_node type="CHANY" side="top" index="19"/> + <driver_node type="CHANX" side="right" index="3"/> + <driver_node type="CHANX" side="right" index="11"/> + <driver_node type="CHANY" side="bottom" index="0"/> + <driver_node type="CHANY" side="bottom" index="6"/> + <driver_node type="CHANY" side="bottom" index="8"/> + <driver_node type="CHANY" side="bottom" index="16"/> + <driver_node type="OPIN" side="left" index="1"/> + </CHANX> + <CHANX side="left" index="11" mux_size="0"> + <driver_node type="CHANX" side="right" index="9"/> + </CHANX> + <CHANX side="left" index="13" mux_size="0"> + <driver_node type="CHANX" side="right" index="11"/> + </CHANX> + <CHANX side="left" index="15" mux_size="0"> + <driver_node type="CHANX" side="right" index="13"/> + </CHANX> + <CHANX side="left" index="17" mux_size="8"> + <driver_node type="CHANY" side="top" index="3"/> + <driver_node type="CHANY" side="top" index="11"/> + <driver_node type="CHANY" side="top" index="15"/> + <driver_node type="CHANX" side="right" index="5"/> + <driver_node type="CHANX" side="right" index="13"/> + <driver_node type="CHANY" side="bottom" index="2"/> + <driver_node type="CHANY" side="bottom" index="10"/> + <driver_node type="CHANY" side="bottom" index="14"/> + </CHANX> + <CHANX side="left" index="19" mux_size="0"> + <driver_node type="CHANX" side="right" index="17"/> + </CHANX> +</rr_sb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_1__3_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_1__3_.xml new file mode 100644 index 000000000..da842e50b --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_1__3_.xml @@ -0,0 +1,226 @@ +<rr_sb x="1" y="3" num_sides="4"> + <CHANY side="top" index="0" mux_size="11"> + <driver_node type="OPIN" side="top" index="0"/> + <driver_node type="CHANX" side="right" index="3"/> + <driver_node type="CHANX" side="right" index="11"/> + <driver_node type="CHANX" side="right" index="15"/> + <driver_node type="CHANY" side="bottom" index="0"/> + <driver_node type="CHANY" side="bottom" index="8"/> + <driver_node type="CHANY" side="bottom" index="16"/> + <driver_node type="CHANX" side="left" index="0"/> + <driver_node type="CHANX" side="left" index="6"/> + <driver_node type="CHANX" side="left" index="8"/> + <driver_node type="CHANX" side="left" index="16"/> + </CHANY> + <CHANY side="top" index="2" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="0"/> + </CHANY> + <CHANY side="top" index="4" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="2"/> + </CHANY> + <CHANY side="top" index="6" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="4"/> + </CHANY> + <CHANY side="top" index="8" mux_size="9"> + <driver_node type="OPIN" side="top" index="1"/> + <driver_node type="CHANX" side="right" index="5"/> + <driver_node type="CHANX" side="right" index="13"/> + <driver_node type="CHANX" side="right" index="19"/> + <driver_node type="CHANY" side="bottom" index="2"/> + <driver_node type="CHANY" side="bottom" index="10"/> + <driver_node type="CHANX" side="left" index="4"/> + <driver_node type="CHANX" side="left" index="12"/> + <driver_node type="CHANX" side="left" index="18"/> + </CHANY> + <CHANY side="top" index="10" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="8"/> + </CHANY> + <CHANY side="top" index="12" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="10"/> + </CHANY> + <CHANY side="top" index="14" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="12"/> + </CHANY> + <CHANY side="top" index="16" mux_size="9"> + <driver_node type="CHANX" side="right" index="1"/> + <driver_node type="CHANX" side="right" index="7"/> + <driver_node type="CHANX" side="right" index="9"/> + <driver_node type="CHANX" side="right" index="17"/> + <driver_node type="CHANY" side="bottom" index="4"/> + <driver_node type="CHANY" side="bottom" index="12"/> + <driver_node type="CHANX" side="left" index="2"/> + <driver_node type="CHANX" side="left" index="10"/> + <driver_node type="CHANX" side="left" index="14"/> + </CHANY> + <CHANY side="top" index="18" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="16"/> + </CHANY> + <CHANX side="right" index="0" mux_size="10"> + <driver_node type="CHANY" side="top" index="5"/> + <driver_node type="CHANY" side="top" index="13"/> + <driver_node type="CHANY" side="top" index="19"/> + <driver_node type="OPIN" side="right" index="0"/> + <driver_node type="CHANY" side="bottom" index="2"/> + <driver_node type="CHANY" side="bottom" index="10"/> + <driver_node type="CHANY" side="bottom" index="14"/> + <driver_node type="CHANX" side="left" index="0"/> + <driver_node type="CHANX" side="left" index="8"/> + <driver_node type="CHANX" side="left" index="16"/> + </CHANX> + <CHANX side="right" index="2" mux_size="0"> + <driver_node type="CHANX" side="left" index="0"/> + </CHANX> + <CHANX side="right" index="4" mux_size="0"> + <driver_node type="CHANX" side="left" index="2"/> + </CHANX> + <CHANX side="right" index="6" mux_size="0"> + <driver_node type="CHANX" side="left" index="4"/> + </CHANX> + <CHANX side="right" index="8" mux_size="11"> + <driver_node type="CHANY" side="top" index="1"/> + <driver_node type="CHANY" side="top" index="7"/> + <driver_node type="CHANY" side="top" index="9"/> + <driver_node type="CHANY" side="top" index="17"/> + <driver_node type="OPIN" side="right" index="1"/> + <driver_node type="CHANY" side="bottom" index="0"/> + <driver_node type="CHANY" side="bottom" index="6"/> + <driver_node type="CHANY" side="bottom" index="8"/> + <driver_node type="CHANY" side="bottom" index="16"/> + <driver_node type="CHANX" side="left" index="2"/> + <driver_node type="CHANX" side="left" index="10"/> + </CHANX> + <CHANX side="right" index="10" mux_size="0"> + <driver_node type="CHANX" side="left" index="8"/> + </CHANX> + <CHANX side="right" index="12" mux_size="0"> + <driver_node type="CHANX" side="left" index="10"/> + </CHANX> + <CHANX side="right" index="14" mux_size="0"> + <driver_node type="CHANX" side="left" index="12"/> + </CHANX> + <CHANX side="right" index="16" mux_size="8"> + <driver_node type="CHANY" side="top" index="3"/> + <driver_node type="CHANY" side="top" index="11"/> + <driver_node type="CHANY" side="top" index="15"/> + <driver_node type="CHANY" side="bottom" index="4"/> + <driver_node type="CHANY" side="bottom" index="12"/> + <driver_node type="CHANY" side="bottom" index="18"/> + <driver_node type="CHANX" side="left" index="4"/> + <driver_node type="CHANX" side="left" index="12"/> + </CHANX> + <CHANX side="right" index="18" mux_size="0"> + <driver_node type="CHANX" side="left" index="16"/> + </CHANX> + <CHANY side="bottom" index="1" mux_size="10"> + <driver_node type="CHANY" side="top" index="1"/> + <driver_node type="CHANY" side="top" index="9"/> + <driver_node type="CHANY" side="top" index="17"/> + <driver_node type="CHANX" side="right" index="3"/> + <driver_node type="CHANX" side="right" index="11"/> + <driver_node type="CHANX" side="right" index="15"/> + <driver_node type="OPIN" side="bottom" index="0"/> + <driver_node type="CHANX" side="left" index="2"/> + <driver_node type="CHANX" side="left" index="10"/> + <driver_node type="CHANX" side="left" index="14"/> + </CHANY> + <CHANY side="bottom" index="3" mux_size="0"> + <driver_node type="CHANY" side="top" index="1"/> + </CHANY> + <CHANY side="bottom" index="5" mux_size="0"> + <driver_node type="CHANY" side="top" index="3"/> + </CHANY> + <CHANY side="bottom" index="7" mux_size="0"> + <driver_node type="CHANY" side="top" index="5"/> + </CHANY> + <CHANY side="bottom" index="9" mux_size="10"> + <driver_node type="CHANY" side="top" index="3"/> + <driver_node type="CHANY" side="top" index="11"/> + <driver_node type="CHANX" side="right" index="1"/> + <driver_node type="CHANX" side="right" index="7"/> + <driver_node type="CHANX" side="right" index="9"/> + <driver_node type="CHANX" side="right" index="17"/> + <driver_node type="OPIN" side="bottom" index="1"/> + <driver_node type="CHANX" side="left" index="4"/> + <driver_node type="CHANX" side="left" index="12"/> + <driver_node type="CHANX" side="left" index="18"/> + </CHANY> + <CHANY side="bottom" index="11" mux_size="0"> + <driver_node type="CHANY" side="top" index="9"/> + </CHANY> + <CHANY side="bottom" index="13" mux_size="0"> + <driver_node type="CHANY" side="top" index="11"/> + </CHANY> + <CHANY side="bottom" index="15" mux_size="0"> + <driver_node type="CHANY" side="top" index="13"/> + </CHANY> + <CHANY side="bottom" index="17" mux_size="9"> + <driver_node type="CHANY" side="top" index="5"/> + <driver_node type="CHANY" side="top" index="13"/> + <driver_node type="CHANX" side="right" index="5"/> + <driver_node type="CHANX" side="right" index="13"/> + <driver_node type="CHANX" side="right" index="19"/> + <driver_node type="CHANX" side="left" index="0"/> + <driver_node type="CHANX" side="left" index="6"/> + <driver_node type="CHANX" side="left" index="8"/> + <driver_node type="CHANX" side="left" index="16"/> + </CHANY> + <CHANY side="bottom" index="19" mux_size="0"> + <driver_node type="CHANY" side="top" index="17"/> + </CHANY> + <CHANX side="left" index="1" mux_size="11"> + <driver_node type="CHANY" side="top" index="1"/> + <driver_node type="CHANY" side="top" index="7"/> + <driver_node type="CHANY" side="top" index="9"/> + <driver_node type="CHANY" side="top" index="17"/> + <driver_node type="CHANX" side="right" index="1"/> + <driver_node type="CHANX" side="right" index="9"/> + <driver_node type="CHANX" side="right" index="17"/> + <driver_node type="CHANY" side="bottom" index="4"/> + <driver_node type="CHANY" side="bottom" index="12"/> + <driver_node type="CHANY" side="bottom" index="18"/> + <driver_node type="OPIN" side="left" index="0"/> + </CHANX> + <CHANX side="left" index="3" mux_size="0"> + <driver_node type="CHANX" side="right" index="1"/> + </CHANX> + <CHANX side="left" index="5" mux_size="0"> + <driver_node type="CHANX" side="right" index="3"/> + </CHANX> + <CHANX side="left" index="7" mux_size="0"> + <driver_node type="CHANX" side="right" index="5"/> + </CHANX> + <CHANX side="left" index="9" mux_size="10"> + <driver_node type="CHANY" side="top" index="5"/> + <driver_node type="CHANY" side="top" index="13"/> + <driver_node type="CHANY" side="top" index="19"/> + <driver_node type="CHANX" side="right" index="3"/> + <driver_node type="CHANX" side="right" index="11"/> + <driver_node type="CHANY" side="bottom" index="0"/> + <driver_node type="CHANY" side="bottom" index="6"/> + <driver_node type="CHANY" side="bottom" index="8"/> + <driver_node type="CHANY" side="bottom" index="16"/> + <driver_node type="OPIN" side="left" index="1"/> + </CHANX> + <CHANX side="left" index="11" mux_size="0"> + <driver_node type="CHANX" side="right" index="9"/> + </CHANX> + <CHANX side="left" index="13" mux_size="0"> + <driver_node type="CHANX" side="right" index="11"/> + </CHANX> + <CHANX side="left" index="15" mux_size="0"> + <driver_node type="CHANX" side="right" index="13"/> + </CHANX> + <CHANX side="left" index="17" mux_size="8"> + <driver_node type="CHANY" side="top" index="3"/> + <driver_node type="CHANY" side="top" index="11"/> + <driver_node type="CHANY" side="top" index="15"/> + <driver_node type="CHANX" side="right" index="5"/> + <driver_node type="CHANX" side="right" index="13"/> + <driver_node type="CHANY" side="bottom" index="2"/> + <driver_node type="CHANY" side="bottom" index="10"/> + <driver_node type="CHANY" side="bottom" index="14"/> + </CHANX> + <CHANX side="left" index="19" mux_size="0"> + <driver_node type="CHANX" side="right" index="17"/> + </CHANX> +</rr_sb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_1__4_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_1__4_.xml new file mode 100644 index 000000000..74f45ac11 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_1__4_.xml @@ -0,0 +1,150 @@ +<rr_sb x="1" y="4" num_sides="4"> + <CHANX side="right" index="0" mux_size="9"> + <driver_node type="OPIN" side="right" index="0"/> + <driver_node type="OPIN" side="right" index="3"/> + <driver_node type="OPIN" side="right" index="6"/> + <driver_node type="CHANY" side="bottom" index="2"/> + <driver_node type="CHANY" side="bottom" index="8"/> + <driver_node type="CHANY" side="bottom" index="14"/> + <driver_node type="CHANX" side="left" index="0"/> + <driver_node type="CHANX" side="left" index="8"/> + <driver_node type="CHANX" side="left" index="16"/> + </CHANX> + <CHANX side="right" index="2" mux_size="0"> + <driver_node type="CHANX" side="left" index="0"/> + </CHANX> + <CHANX side="right" index="4" mux_size="0"> + <driver_node type="CHANX" side="left" index="2"/> + </CHANX> + <CHANX side="right" index="6" mux_size="0"> + <driver_node type="CHANX" side="left" index="4"/> + </CHANX> + <CHANX side="right" index="8" mux_size="9"> + <driver_node type="OPIN" side="right" index="1"/> + <driver_node type="OPIN" side="right" index="4"/> + <driver_node type="OPIN" side="right" index="7"/> + <driver_node type="CHANY" side="bottom" index="0"/> + <driver_node type="CHANY" side="bottom" index="6"/> + <driver_node type="CHANY" side="bottom" index="12"/> + <driver_node type="CHANY" side="bottom" index="18"/> + <driver_node type="CHANX" side="left" index="2"/> + <driver_node type="CHANX" side="left" index="10"/> + </CHANX> + <CHANX side="right" index="10" mux_size="0"> + <driver_node type="CHANX" side="left" index="8"/> + </CHANX> + <CHANX side="right" index="12" mux_size="0"> + <driver_node type="CHANX" side="left" index="10"/> + </CHANX> + <CHANX side="right" index="14" mux_size="0"> + <driver_node type="CHANX" side="left" index="12"/> + </CHANX> + <CHANX side="right" index="16" mux_size="8"> + <driver_node type="OPIN" side="right" index="2"/> + <driver_node type="OPIN" side="right" index="5"/> + <driver_node type="OPIN" side="right" index="8"/> + <driver_node type="CHANY" side="bottom" index="4"/> + <driver_node type="CHANY" side="bottom" index="10"/> + <driver_node type="CHANY" side="bottom" index="16"/> + <driver_node type="CHANX" side="left" index="4"/> + <driver_node type="CHANX" side="left" index="12"/> + </CHANX> + <CHANX side="right" index="18" mux_size="0"> + <driver_node type="CHANX" side="left" index="16"/> + </CHANX> + <CHANY side="bottom" index="1" mux_size="3"> + <driver_node type="OPIN" side="bottom" index="0"/> + <driver_node type="CHANX" side="left" index="2"/> + <driver_node type="CHANX" side="left" index="14"/> + </CHANY> + <CHANY side="bottom" index="3" mux_size="3"> + <driver_node type="OPIN" side="bottom" index="1"/> + <driver_node type="CHANX" side="left" index="4"/> + <driver_node type="CHANX" side="left" index="18"/> + </CHANY> + <CHANY side="bottom" index="5" mux_size="2"> + <driver_node type="CHANX" side="right" index="17"/> + <driver_node type="CHANX" side="left" index="8"/> + </CHANY> + <CHANY side="bottom" index="7" mux_size="2"> + <driver_node type="CHANX" side="right" index="13"/> + <driver_node type="CHANX" side="left" index="10"/> + </CHANY> + <CHANY side="bottom" index="9" mux_size="2"> + <driver_node type="CHANX" side="right" index="11"/> + <driver_node type="CHANX" side="left" index="12"/> + </CHANY> + <CHANY side="bottom" index="11" mux_size="2"> + <driver_node type="CHANX" side="right" index="9"/> + <driver_node type="CHANX" side="left" index="16"/> + </CHANY> + <CHANY side="bottom" index="13" mux_size="2"> + <driver_node type="CHANX" side="right" index="5"/> + <driver_node type="CHANX" side="right" index="19"/> + </CHANY> + <CHANY side="bottom" index="15" mux_size="2"> + <driver_node type="CHANX" side="right" index="3"/> + <driver_node type="CHANX" side="right" index="15"/> + </CHANY> + <CHANY side="bottom" index="17" mux_size="2"> + <driver_node type="CHANX" side="right" index="1"/> + <driver_node type="CHANX" side="right" index="7"/> + </CHANY> + <CHANY side="bottom" index="19" mux_size="2"> + <driver_node type="CHANX" side="left" index="0"/> + <driver_node type="CHANX" side="left" index="6"/> + </CHANY> + <CHANX side="left" index="1" mux_size="9"> + <driver_node type="CHANX" side="right" index="1"/> + <driver_node type="CHANX" side="right" index="9"/> + <driver_node type="CHANX" side="right" index="17"/> + <driver_node type="CHANY" side="bottom" index="4"/> + <driver_node type="CHANY" side="bottom" index="10"/> + <driver_node type="CHANY" side="bottom" index="16"/> + <driver_node type="OPIN" side="left" index="0"/> + <driver_node type="OPIN" side="left" index="3"/> + <driver_node type="OPIN" side="left" index="6"/> + </CHANX> + <CHANX side="left" index="3" mux_size="0"> + <driver_node type="CHANX" side="right" index="1"/> + </CHANX> + <CHANX side="left" index="5" mux_size="0"> + <driver_node type="CHANX" side="right" index="3"/> + </CHANX> + <CHANX side="left" index="7" mux_size="0"> + <driver_node type="CHANX" side="right" index="5"/> + </CHANX> + <CHANX side="left" index="9" mux_size="9"> + <driver_node type="CHANX" side="right" index="3"/> + <driver_node type="CHANX" side="right" index="11"/> + <driver_node type="CHANY" side="bottom" index="0"/> + <driver_node type="CHANY" side="bottom" index="6"/> + <driver_node type="CHANY" side="bottom" index="12"/> + <driver_node type="CHANY" side="bottom" index="18"/> + <driver_node type="OPIN" side="left" index="1"/> + <driver_node type="OPIN" side="left" index="4"/> + <driver_node type="OPIN" side="left" index="7"/> + </CHANX> + <CHANX side="left" index="11" mux_size="0"> + <driver_node type="CHANX" side="right" index="9"/> + </CHANX> + <CHANX side="left" index="13" mux_size="0"> + <driver_node type="CHANX" side="right" index="11"/> + </CHANX> + <CHANX side="left" index="15" mux_size="0"> + <driver_node type="CHANX" side="right" index="13"/> + </CHANX> + <CHANX side="left" index="17" mux_size="8"> + <driver_node type="CHANX" side="right" index="5"/> + <driver_node type="CHANX" side="right" index="13"/> + <driver_node type="CHANY" side="bottom" index="2"/> + <driver_node type="CHANY" side="bottom" index="8"/> + <driver_node type="CHANY" side="bottom" index="14"/> + <driver_node type="OPIN" side="left" index="2"/> + <driver_node type="OPIN" side="left" index="5"/> + <driver_node type="OPIN" side="left" index="8"/> + </CHANX> + <CHANX side="left" index="19" mux_size="0"> + <driver_node type="CHANX" side="right" index="17"/> + </CHANX> +</rr_sb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_2__0_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_2__0_.xml new file mode 100644 index 000000000..49a7dc186 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_2__0_.xml @@ -0,0 +1,150 @@ +<rr_sb x="2" y="0" num_sides="4"> + <CHANY side="top" index="0" mux_size="5"> + <driver_node type="OPIN" side="top" index="0"/> + <driver_node type="CHANX" side="right" index="3"/> + <driver_node type="CHANX" side="right" index="15"/> + <driver_node type="CHANX" side="left" index="0"/> + <driver_node type="CHANX" side="left" index="6"/> + </CHANY> + <CHANY side="top" index="2" mux_size="3"> + <driver_node type="OPIN" side="top" index="1"/> + <driver_node type="CHANX" side="right" index="5"/> + <driver_node type="CHANX" side="right" index="19"/> + </CHANY> + <CHANY side="top" index="4" mux_size="1"> + <driver_node type="CHANX" side="right" index="9"/> + </CHANY> + <CHANY side="top" index="6" mux_size="1"> + <driver_node type="CHANX" side="right" index="11"/> + </CHANY> + <CHANY side="top" index="8" mux_size="2"> + <driver_node type="CHANX" side="right" index="13"/> + <driver_node type="CHANX" side="left" index="16"/> + </CHANY> + <CHANY side="top" index="10" mux_size="2"> + <driver_node type="CHANX" side="right" index="17"/> + <driver_node type="CHANX" side="left" index="12"/> + </CHANY> + <CHANY side="top" index="12" mux_size="1"> + <driver_node type="CHANX" side="left" index="10"/> + </CHANY> + <CHANY side="top" index="14" mux_size="1"> + <driver_node type="CHANX" side="left" index="8"/> + </CHANY> + <CHANY side="top" index="16" mux_size="2"> + <driver_node type="CHANX" side="left" index="4"/> + <driver_node type="CHANX" side="left" index="18"/> + </CHANY> + <CHANY side="top" index="18" mux_size="4"> + <driver_node type="CHANX" side="right" index="1"/> + <driver_node type="CHANX" side="right" index="7"/> + <driver_node type="CHANX" side="left" index="2"/> + <driver_node type="CHANX" side="left" index="14"/> + </CHANY> + <CHANX side="right" index="0" mux_size="9"> + <driver_node type="CHANY" side="top" index="5"/> + <driver_node type="CHANY" side="top" index="11"/> + <driver_node type="CHANY" side="top" index="17"/> + <driver_node type="OPIN" side="right" index="0"/> + <driver_node type="OPIN" side="right" index="3"/> + <driver_node type="OPIN" side="right" index="6"/> + <driver_node type="CHANX" side="left" index="0"/> + <driver_node type="CHANX" side="left" index="8"/> + <driver_node type="CHANX" side="left" index="16"/> + </CHANX> + <CHANX side="right" index="2" mux_size="0"> + <driver_node type="CHANX" side="left" index="0"/> + </CHANX> + <CHANX side="right" index="4" mux_size="0"> + <driver_node type="CHANX" side="left" index="2"/> + </CHANX> + <CHANX side="right" index="6" mux_size="0"> + <driver_node type="CHANX" side="left" index="4"/> + </CHANX> + <CHANX side="right" index="8" mux_size="9"> + <driver_node type="CHANY" side="top" index="1"/> + <driver_node type="CHANY" side="top" index="7"/> + <driver_node type="CHANY" side="top" index="13"/> + <driver_node type="CHANY" side="top" index="19"/> + <driver_node type="OPIN" side="right" index="1"/> + <driver_node type="OPIN" side="right" index="4"/> + <driver_node type="OPIN" side="right" index="7"/> + <driver_node type="CHANX" side="left" index="2"/> + <driver_node type="CHANX" side="left" index="10"/> + </CHANX> + <CHANX side="right" index="10" mux_size="0"> + <driver_node type="CHANX" side="left" index="8"/> + </CHANX> + <CHANX side="right" index="12" mux_size="0"> + <driver_node type="CHANX" side="left" index="10"/> + </CHANX> + <CHANX side="right" index="14" mux_size="0"> + <driver_node type="CHANX" side="left" index="12"/> + </CHANX> + <CHANX side="right" index="16" mux_size="8"> + <driver_node type="CHANY" side="top" index="3"/> + <driver_node type="CHANY" side="top" index="9"/> + <driver_node type="CHANY" side="top" index="15"/> + <driver_node type="OPIN" side="right" index="2"/> + <driver_node type="OPIN" side="right" index="5"/> + <driver_node type="OPIN" side="right" index="8"/> + <driver_node type="CHANX" side="left" index="4"/> + <driver_node type="CHANX" side="left" index="12"/> + </CHANX> + <CHANX side="right" index="18" mux_size="0"> + <driver_node type="CHANX" side="left" index="16"/> + </CHANX> + <CHANX side="left" index="1" mux_size="10"> + <driver_node type="CHANY" side="top" index="1"/> + <driver_node type="CHANY" side="top" index="7"/> + <driver_node type="CHANY" side="top" index="13"/> + <driver_node type="CHANY" side="top" index="19"/> + <driver_node type="CHANX" side="right" index="1"/> + <driver_node type="CHANX" side="right" index="9"/> + <driver_node type="CHANX" side="right" index="17"/> + <driver_node type="OPIN" side="left" index="0"/> + <driver_node type="OPIN" side="left" index="3"/> + <driver_node type="OPIN" side="left" index="6"/> + </CHANX> + <CHANX side="left" index="3" mux_size="0"> + <driver_node type="CHANX" side="right" index="1"/> + </CHANX> + <CHANX side="left" index="5" mux_size="0"> + <driver_node type="CHANX" side="right" index="3"/> + </CHANX> + <CHANX side="left" index="7" mux_size="0"> + <driver_node type="CHANX" side="right" index="5"/> + </CHANX> + <CHANX side="left" index="9" mux_size="8"> + <driver_node type="CHANY" side="top" index="5"/> + <driver_node type="CHANY" side="top" index="11"/> + <driver_node type="CHANY" side="top" index="17"/> + <driver_node type="CHANX" side="right" index="3"/> + <driver_node type="CHANX" side="right" index="11"/> + <driver_node type="OPIN" side="left" index="1"/> + <driver_node type="OPIN" side="left" index="4"/> + <driver_node type="OPIN" side="left" index="7"/> + </CHANX> + <CHANX side="left" index="11" mux_size="0"> + <driver_node type="CHANX" side="right" index="9"/> + </CHANX> + <CHANX side="left" index="13" mux_size="0"> + <driver_node type="CHANX" side="right" index="11"/> + </CHANX> + <CHANX side="left" index="15" mux_size="0"> + <driver_node type="CHANX" side="right" index="13"/> + </CHANX> + <CHANX side="left" index="17" mux_size="8"> + <driver_node type="CHANY" side="top" index="3"/> + <driver_node type="CHANY" side="top" index="9"/> + <driver_node type="CHANY" side="top" index="15"/> + <driver_node type="CHANX" side="right" index="5"/> + <driver_node type="CHANX" side="right" index="13"/> + <driver_node type="OPIN" side="left" index="2"/> + <driver_node type="OPIN" side="left" index="5"/> + <driver_node type="OPIN" side="left" index="8"/> + </CHANX> + <CHANX side="left" index="19" mux_size="0"> + <driver_node type="CHANX" side="right" index="17"/> + </CHANX> +</rr_sb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_2__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_2__1_.xml new file mode 100644 index 000000000..9dc9df438 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_2__1_.xml @@ -0,0 +1,226 @@ +<rr_sb x="2" y="1" num_sides="4"> + <CHANY side="top" index="0" mux_size="11"> + <driver_node type="OPIN" side="top" index="0"/> + <driver_node type="CHANX" side="right" index="3"/> + <driver_node type="CHANX" side="right" index="11"/> + <driver_node type="CHANX" side="right" index="15"/> + <driver_node type="CHANY" side="bottom" index="0"/> + <driver_node type="CHANY" side="bottom" index="8"/> + <driver_node type="CHANY" side="bottom" index="16"/> + <driver_node type="CHANX" side="left" index="0"/> + <driver_node type="CHANX" side="left" index="6"/> + <driver_node type="CHANX" side="left" index="8"/> + <driver_node type="CHANX" side="left" index="16"/> + </CHANY> + <CHANY side="top" index="2" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="0"/> + </CHANY> + <CHANY side="top" index="4" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="2"/> + </CHANY> + <CHANY side="top" index="6" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="4"/> + </CHANY> + <CHANY side="top" index="8" mux_size="9"> + <driver_node type="OPIN" side="top" index="1"/> + <driver_node type="CHANX" side="right" index="5"/> + <driver_node type="CHANX" side="right" index="13"/> + <driver_node type="CHANX" side="right" index="19"/> + <driver_node type="CHANY" side="bottom" index="2"/> + <driver_node type="CHANY" side="bottom" index="10"/> + <driver_node type="CHANX" side="left" index="4"/> + <driver_node type="CHANX" side="left" index="12"/> + <driver_node type="CHANX" side="left" index="18"/> + </CHANY> + <CHANY side="top" index="10" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="8"/> + </CHANY> + <CHANY side="top" index="12" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="10"/> + </CHANY> + <CHANY side="top" index="14" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="12"/> + </CHANY> + <CHANY side="top" index="16" mux_size="9"> + <driver_node type="CHANX" side="right" index="1"/> + <driver_node type="CHANX" side="right" index="7"/> + <driver_node type="CHANX" side="right" index="9"/> + <driver_node type="CHANX" side="right" index="17"/> + <driver_node type="CHANY" side="bottom" index="4"/> + <driver_node type="CHANY" side="bottom" index="12"/> + <driver_node type="CHANX" side="left" index="2"/> + <driver_node type="CHANX" side="left" index="10"/> + <driver_node type="CHANX" side="left" index="14"/> + </CHANY> + <CHANY side="top" index="18" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="16"/> + </CHANY> + <CHANX side="right" index="0" mux_size="10"> + <driver_node type="CHANY" side="top" index="5"/> + <driver_node type="CHANY" side="top" index="13"/> + <driver_node type="CHANY" side="top" index="19"/> + <driver_node type="OPIN" side="right" index="0"/> + <driver_node type="CHANY" side="bottom" index="2"/> + <driver_node type="CHANY" side="bottom" index="10"/> + <driver_node type="CHANY" side="bottom" index="14"/> + <driver_node type="CHANX" side="left" index="0"/> + <driver_node type="CHANX" side="left" index="8"/> + <driver_node type="CHANX" side="left" index="16"/> + </CHANX> + <CHANX side="right" index="2" mux_size="0"> + <driver_node type="CHANX" side="left" index="0"/> + </CHANX> + <CHANX side="right" index="4" mux_size="0"> + <driver_node type="CHANX" side="left" index="2"/> + </CHANX> + <CHANX side="right" index="6" mux_size="0"> + <driver_node type="CHANX" side="left" index="4"/> + </CHANX> + <CHANX side="right" index="8" mux_size="11"> + <driver_node type="CHANY" side="top" index="1"/> + <driver_node type="CHANY" side="top" index="7"/> + <driver_node type="CHANY" side="top" index="9"/> + <driver_node type="CHANY" side="top" index="17"/> + <driver_node type="OPIN" side="right" index="1"/> + <driver_node type="CHANY" side="bottom" index="0"/> + <driver_node type="CHANY" side="bottom" index="6"/> + <driver_node type="CHANY" side="bottom" index="8"/> + <driver_node type="CHANY" side="bottom" index="16"/> + <driver_node type="CHANX" side="left" index="2"/> + <driver_node type="CHANX" side="left" index="10"/> + </CHANX> + <CHANX side="right" index="10" mux_size="0"> + <driver_node type="CHANX" side="left" index="8"/> + </CHANX> + <CHANX side="right" index="12" mux_size="0"> + <driver_node type="CHANX" side="left" index="10"/> + </CHANX> + <CHANX side="right" index="14" mux_size="0"> + <driver_node type="CHANX" side="left" index="12"/> + </CHANX> + <CHANX side="right" index="16" mux_size="8"> + <driver_node type="CHANY" side="top" index="3"/> + <driver_node type="CHANY" side="top" index="11"/> + <driver_node type="CHANY" side="top" index="15"/> + <driver_node type="CHANY" side="bottom" index="4"/> + <driver_node type="CHANY" side="bottom" index="12"/> + <driver_node type="CHANY" side="bottom" index="18"/> + <driver_node type="CHANX" side="left" index="4"/> + <driver_node type="CHANX" side="left" index="12"/> + </CHANX> + <CHANX side="right" index="18" mux_size="0"> + <driver_node type="CHANX" side="left" index="16"/> + </CHANX> + <CHANY side="bottom" index="1" mux_size="10"> + <driver_node type="CHANY" side="top" index="1"/> + <driver_node type="CHANY" side="top" index="9"/> + <driver_node type="CHANY" side="top" index="17"/> + <driver_node type="CHANX" side="right" index="3"/> + <driver_node type="CHANX" side="right" index="11"/> + <driver_node type="CHANX" side="right" index="15"/> + <driver_node type="OPIN" side="bottom" index="0"/> + <driver_node type="CHANX" side="left" index="2"/> + <driver_node type="CHANX" side="left" index="10"/> + <driver_node type="CHANX" side="left" index="14"/> + </CHANY> + <CHANY side="bottom" index="3" mux_size="0"> + <driver_node type="CHANY" side="top" index="1"/> + </CHANY> + <CHANY side="bottom" index="5" mux_size="0"> + <driver_node type="CHANY" side="top" index="3"/> + </CHANY> + <CHANY side="bottom" index="7" mux_size="0"> + <driver_node type="CHANY" side="top" index="5"/> + </CHANY> + <CHANY side="bottom" index="9" mux_size="10"> + <driver_node type="CHANY" side="top" index="3"/> + <driver_node type="CHANY" side="top" index="11"/> + <driver_node type="CHANX" side="right" index="1"/> + <driver_node type="CHANX" side="right" index="7"/> + <driver_node type="CHANX" side="right" index="9"/> + <driver_node type="CHANX" side="right" index="17"/> + <driver_node type="OPIN" side="bottom" index="1"/> + <driver_node type="CHANX" side="left" index="4"/> + <driver_node type="CHANX" side="left" index="12"/> + <driver_node type="CHANX" side="left" index="18"/> + </CHANY> + <CHANY side="bottom" index="11" mux_size="0"> + <driver_node type="CHANY" side="top" index="9"/> + </CHANY> + <CHANY side="bottom" index="13" mux_size="0"> + <driver_node type="CHANY" side="top" index="11"/> + </CHANY> + <CHANY side="bottom" index="15" mux_size="0"> + <driver_node type="CHANY" side="top" index="13"/> + </CHANY> + <CHANY side="bottom" index="17" mux_size="9"> + <driver_node type="CHANY" side="top" index="5"/> + <driver_node type="CHANY" side="top" index="13"/> + <driver_node type="CHANX" side="right" index="5"/> + <driver_node type="CHANX" side="right" index="13"/> + <driver_node type="CHANX" side="right" index="19"/> + <driver_node type="CHANX" side="left" index="0"/> + <driver_node type="CHANX" side="left" index="6"/> + <driver_node type="CHANX" side="left" index="8"/> + <driver_node type="CHANX" side="left" index="16"/> + </CHANY> + <CHANY side="bottom" index="19" mux_size="0"> + <driver_node type="CHANY" side="top" index="17"/> + </CHANY> + <CHANX side="left" index="1" mux_size="11"> + <driver_node type="CHANY" side="top" index="1"/> + <driver_node type="CHANY" side="top" index="7"/> + <driver_node type="CHANY" side="top" index="9"/> + <driver_node type="CHANY" side="top" index="17"/> + <driver_node type="CHANX" side="right" index="1"/> + <driver_node type="CHANX" side="right" index="9"/> + <driver_node type="CHANX" side="right" index="17"/> + <driver_node type="CHANY" side="bottom" index="4"/> + <driver_node type="CHANY" side="bottom" index="12"/> + <driver_node type="CHANY" side="bottom" index="18"/> + <driver_node type="OPIN" side="left" index="0"/> + </CHANX> + <CHANX side="left" index="3" mux_size="0"> + <driver_node type="CHANX" side="right" index="1"/> + </CHANX> + <CHANX side="left" index="5" mux_size="0"> + <driver_node type="CHANX" side="right" index="3"/> + </CHANX> + <CHANX side="left" index="7" mux_size="0"> + <driver_node type="CHANX" side="right" index="5"/> + </CHANX> + <CHANX side="left" index="9" mux_size="10"> + <driver_node type="CHANY" side="top" index="5"/> + <driver_node type="CHANY" side="top" index="13"/> + <driver_node type="CHANY" side="top" index="19"/> + <driver_node type="CHANX" side="right" index="3"/> + <driver_node type="CHANX" side="right" index="11"/> + <driver_node type="CHANY" side="bottom" index="0"/> + <driver_node type="CHANY" side="bottom" index="6"/> + <driver_node type="CHANY" side="bottom" index="8"/> + <driver_node type="CHANY" side="bottom" index="16"/> + <driver_node type="OPIN" side="left" index="1"/> + </CHANX> + <CHANX side="left" index="11" mux_size="0"> + <driver_node type="CHANX" side="right" index="9"/> + </CHANX> + <CHANX side="left" index="13" mux_size="0"> + <driver_node type="CHANX" side="right" index="11"/> + </CHANX> + <CHANX side="left" index="15" mux_size="0"> + <driver_node type="CHANX" side="right" index="13"/> + </CHANX> + <CHANX side="left" index="17" mux_size="8"> + <driver_node type="CHANY" side="top" index="3"/> + <driver_node type="CHANY" side="top" index="11"/> + <driver_node type="CHANY" side="top" index="15"/> + <driver_node type="CHANX" side="right" index="5"/> + <driver_node type="CHANX" side="right" index="13"/> + <driver_node type="CHANY" side="bottom" index="2"/> + <driver_node type="CHANY" side="bottom" index="10"/> + <driver_node type="CHANY" side="bottom" index="14"/> + </CHANX> + <CHANX side="left" index="19" mux_size="0"> + <driver_node type="CHANX" side="right" index="17"/> + </CHANX> +</rr_sb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_2__2_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_2__2_.xml new file mode 100644 index 000000000..277678e2b --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_2__2_.xml @@ -0,0 +1,226 @@ +<rr_sb x="2" y="2" num_sides="4"> + <CHANY side="top" index="0" mux_size="11"> + <driver_node type="OPIN" side="top" index="0"/> + <driver_node type="CHANX" side="right" index="3"/> + <driver_node type="CHANX" side="right" index="11"/> + <driver_node type="CHANX" side="right" index="15"/> + <driver_node type="CHANY" side="bottom" index="0"/> + <driver_node type="CHANY" side="bottom" index="8"/> + <driver_node type="CHANY" side="bottom" index="16"/> + <driver_node type="CHANX" side="left" index="0"/> + <driver_node type="CHANX" side="left" index="6"/> + <driver_node type="CHANX" side="left" index="8"/> + <driver_node type="CHANX" side="left" index="16"/> + </CHANY> + <CHANY side="top" index="2" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="0"/> + </CHANY> + <CHANY side="top" index="4" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="2"/> + </CHANY> + <CHANY side="top" index="6" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="4"/> + </CHANY> + <CHANY side="top" index="8" mux_size="9"> + <driver_node type="OPIN" side="top" index="1"/> + <driver_node type="CHANX" side="right" index="5"/> + <driver_node type="CHANX" side="right" index="13"/> + <driver_node type="CHANX" side="right" index="19"/> + <driver_node type="CHANY" side="bottom" index="2"/> + <driver_node type="CHANY" side="bottom" index="10"/> + <driver_node type="CHANX" side="left" index="4"/> + <driver_node type="CHANX" side="left" index="12"/> + <driver_node type="CHANX" side="left" index="18"/> + </CHANY> + <CHANY side="top" index="10" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="8"/> + </CHANY> + <CHANY side="top" index="12" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="10"/> + </CHANY> + <CHANY side="top" index="14" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="12"/> + </CHANY> + <CHANY side="top" index="16" mux_size="9"> + <driver_node type="CHANX" side="right" index="1"/> + <driver_node type="CHANX" side="right" index="7"/> + <driver_node type="CHANX" side="right" index="9"/> + <driver_node type="CHANX" side="right" index="17"/> + <driver_node type="CHANY" side="bottom" index="4"/> + <driver_node type="CHANY" side="bottom" index="12"/> + <driver_node type="CHANX" side="left" index="2"/> + <driver_node type="CHANX" side="left" index="10"/> + <driver_node type="CHANX" side="left" index="14"/> + </CHANY> + <CHANY side="top" index="18" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="16"/> + </CHANY> + <CHANX side="right" index="0" mux_size="10"> + <driver_node type="CHANY" side="top" index="5"/> + <driver_node type="CHANY" side="top" index="13"/> + <driver_node type="CHANY" side="top" index="19"/> + <driver_node type="OPIN" side="right" index="0"/> + <driver_node type="CHANY" side="bottom" index="2"/> + <driver_node type="CHANY" side="bottom" index="10"/> + <driver_node type="CHANY" side="bottom" index="14"/> + <driver_node type="CHANX" side="left" index="0"/> + <driver_node type="CHANX" side="left" index="8"/> + <driver_node type="CHANX" side="left" index="16"/> + </CHANX> + <CHANX side="right" index="2" mux_size="0"> + <driver_node type="CHANX" side="left" index="0"/> + </CHANX> + <CHANX side="right" index="4" mux_size="0"> + <driver_node type="CHANX" side="left" index="2"/> + </CHANX> + <CHANX side="right" index="6" mux_size="0"> + <driver_node type="CHANX" side="left" index="4"/> + </CHANX> + <CHANX side="right" index="8" mux_size="11"> + <driver_node type="CHANY" side="top" index="1"/> + <driver_node type="CHANY" side="top" index="7"/> + <driver_node type="CHANY" side="top" index="9"/> + <driver_node type="CHANY" side="top" index="17"/> + <driver_node type="OPIN" side="right" index="1"/> + <driver_node type="CHANY" side="bottom" index="0"/> + <driver_node type="CHANY" side="bottom" index="6"/> + <driver_node type="CHANY" side="bottom" index="8"/> + <driver_node type="CHANY" side="bottom" index="16"/> + <driver_node type="CHANX" side="left" index="2"/> + <driver_node type="CHANX" side="left" index="10"/> + </CHANX> + <CHANX side="right" index="10" mux_size="0"> + <driver_node type="CHANX" side="left" index="8"/> + </CHANX> + <CHANX side="right" index="12" mux_size="0"> + <driver_node type="CHANX" side="left" index="10"/> + </CHANX> + <CHANX side="right" index="14" mux_size="0"> + <driver_node type="CHANX" side="left" index="12"/> + </CHANX> + <CHANX side="right" index="16" mux_size="8"> + <driver_node type="CHANY" side="top" index="3"/> + <driver_node type="CHANY" side="top" index="11"/> + <driver_node type="CHANY" side="top" index="15"/> + <driver_node type="CHANY" side="bottom" index="4"/> + <driver_node type="CHANY" side="bottom" index="12"/> + <driver_node type="CHANY" side="bottom" index="18"/> + <driver_node type="CHANX" side="left" index="4"/> + <driver_node type="CHANX" side="left" index="12"/> + </CHANX> + <CHANX side="right" index="18" mux_size="0"> + <driver_node type="CHANX" side="left" index="16"/> + </CHANX> + <CHANY side="bottom" index="1" mux_size="10"> + <driver_node type="CHANY" side="top" index="1"/> + <driver_node type="CHANY" side="top" index="9"/> + <driver_node type="CHANY" side="top" index="17"/> + <driver_node type="CHANX" side="right" index="3"/> + <driver_node type="CHANX" side="right" index="11"/> + <driver_node type="CHANX" side="right" index="15"/> + <driver_node type="OPIN" side="bottom" index="0"/> + <driver_node type="CHANX" side="left" index="2"/> + <driver_node type="CHANX" side="left" index="10"/> + <driver_node type="CHANX" side="left" index="14"/> + </CHANY> + <CHANY side="bottom" index="3" mux_size="0"> + <driver_node type="CHANY" side="top" index="1"/> + </CHANY> + <CHANY side="bottom" index="5" mux_size="0"> + <driver_node type="CHANY" side="top" index="3"/> + </CHANY> + <CHANY side="bottom" index="7" mux_size="0"> + <driver_node type="CHANY" side="top" index="5"/> + </CHANY> + <CHANY side="bottom" index="9" mux_size="10"> + <driver_node type="CHANY" side="top" index="3"/> + <driver_node type="CHANY" side="top" index="11"/> + <driver_node type="CHANX" side="right" index="1"/> + <driver_node type="CHANX" side="right" index="7"/> + <driver_node type="CHANX" side="right" index="9"/> + <driver_node type="CHANX" side="right" index="17"/> + <driver_node type="OPIN" side="bottom" index="1"/> + <driver_node type="CHANX" side="left" index="4"/> + <driver_node type="CHANX" side="left" index="12"/> + <driver_node type="CHANX" side="left" index="18"/> + </CHANY> + <CHANY side="bottom" index="11" mux_size="0"> + <driver_node type="CHANY" side="top" index="9"/> + </CHANY> + <CHANY side="bottom" index="13" mux_size="0"> + <driver_node type="CHANY" side="top" index="11"/> + </CHANY> + <CHANY side="bottom" index="15" mux_size="0"> + <driver_node type="CHANY" side="top" index="13"/> + </CHANY> + <CHANY side="bottom" index="17" mux_size="9"> + <driver_node type="CHANY" side="top" index="5"/> + <driver_node type="CHANY" side="top" index="13"/> + <driver_node type="CHANX" side="right" index="5"/> + <driver_node type="CHANX" side="right" index="13"/> + <driver_node type="CHANX" side="right" index="19"/> + <driver_node type="CHANX" side="left" index="0"/> + <driver_node type="CHANX" side="left" index="6"/> + <driver_node type="CHANX" side="left" index="8"/> + <driver_node type="CHANX" side="left" index="16"/> + </CHANY> + <CHANY side="bottom" index="19" mux_size="0"> + <driver_node type="CHANY" side="top" index="17"/> + </CHANY> + <CHANX side="left" index="1" mux_size="11"> + <driver_node type="CHANY" side="top" index="1"/> + <driver_node type="CHANY" side="top" index="7"/> + <driver_node type="CHANY" side="top" index="9"/> + <driver_node type="CHANY" side="top" index="17"/> + <driver_node type="CHANX" side="right" index="1"/> + <driver_node type="CHANX" side="right" index="9"/> + <driver_node type="CHANX" side="right" index="17"/> + <driver_node type="CHANY" side="bottom" index="4"/> + <driver_node type="CHANY" side="bottom" index="12"/> + <driver_node type="CHANY" side="bottom" index="18"/> + <driver_node type="OPIN" side="left" index="0"/> + </CHANX> + <CHANX side="left" index="3" mux_size="0"> + <driver_node type="CHANX" side="right" index="1"/> + </CHANX> + <CHANX side="left" index="5" mux_size="0"> + <driver_node type="CHANX" side="right" index="3"/> + </CHANX> + <CHANX side="left" index="7" mux_size="0"> + <driver_node type="CHANX" side="right" index="5"/> + </CHANX> + <CHANX side="left" index="9" mux_size="10"> + <driver_node type="CHANY" side="top" index="5"/> + <driver_node type="CHANY" side="top" index="13"/> + <driver_node type="CHANY" side="top" index="19"/> + <driver_node type="CHANX" side="right" index="3"/> + <driver_node type="CHANX" side="right" index="11"/> + <driver_node type="CHANY" side="bottom" index="0"/> + <driver_node type="CHANY" side="bottom" index="6"/> + <driver_node type="CHANY" side="bottom" index="8"/> + <driver_node type="CHANY" side="bottom" index="16"/> + <driver_node type="OPIN" side="left" index="1"/> + </CHANX> + <CHANX side="left" index="11" mux_size="0"> + <driver_node type="CHANX" side="right" index="9"/> + </CHANX> + <CHANX side="left" index="13" mux_size="0"> + <driver_node type="CHANX" side="right" index="11"/> + </CHANX> + <CHANX side="left" index="15" mux_size="0"> + <driver_node type="CHANX" side="right" index="13"/> + </CHANX> + <CHANX side="left" index="17" mux_size="8"> + <driver_node type="CHANY" side="top" index="3"/> + <driver_node type="CHANY" side="top" index="11"/> + <driver_node type="CHANY" side="top" index="15"/> + <driver_node type="CHANX" side="right" index="5"/> + <driver_node type="CHANX" side="right" index="13"/> + <driver_node type="CHANY" side="bottom" index="2"/> + <driver_node type="CHANY" side="bottom" index="10"/> + <driver_node type="CHANY" side="bottom" index="14"/> + </CHANX> + <CHANX side="left" index="19" mux_size="0"> + <driver_node type="CHANX" side="right" index="17"/> + </CHANX> +</rr_sb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_2__3_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_2__3_.xml new file mode 100644 index 000000000..f6a59bf41 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_2__3_.xml @@ -0,0 +1,226 @@ +<rr_sb x="2" y="3" num_sides="4"> + <CHANY side="top" index="0" mux_size="11"> + <driver_node type="OPIN" side="top" index="0"/> + <driver_node type="CHANX" side="right" index="3"/> + <driver_node type="CHANX" side="right" index="11"/> + <driver_node type="CHANX" side="right" index="15"/> + <driver_node type="CHANY" side="bottom" index="0"/> + <driver_node type="CHANY" side="bottom" index="8"/> + <driver_node type="CHANY" side="bottom" index="16"/> + <driver_node type="CHANX" side="left" index="0"/> + <driver_node type="CHANX" side="left" index="6"/> + <driver_node type="CHANX" side="left" index="8"/> + <driver_node type="CHANX" side="left" index="16"/> + </CHANY> + <CHANY side="top" index="2" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="0"/> + </CHANY> + <CHANY side="top" index="4" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="2"/> + </CHANY> + <CHANY side="top" index="6" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="4"/> + </CHANY> + <CHANY side="top" index="8" mux_size="9"> + <driver_node type="OPIN" side="top" index="1"/> + <driver_node type="CHANX" side="right" index="5"/> + <driver_node type="CHANX" side="right" index="13"/> + <driver_node type="CHANX" side="right" index="19"/> + <driver_node type="CHANY" side="bottom" index="2"/> + <driver_node type="CHANY" side="bottom" index="10"/> + <driver_node type="CHANX" side="left" index="4"/> + <driver_node type="CHANX" side="left" index="12"/> + <driver_node type="CHANX" side="left" index="18"/> + </CHANY> + <CHANY side="top" index="10" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="8"/> + </CHANY> + <CHANY side="top" index="12" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="10"/> + </CHANY> + <CHANY side="top" index="14" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="12"/> + </CHANY> + <CHANY side="top" index="16" mux_size="9"> + <driver_node type="CHANX" side="right" index="1"/> + <driver_node type="CHANX" side="right" index="7"/> + <driver_node type="CHANX" side="right" index="9"/> + <driver_node type="CHANX" side="right" index="17"/> + <driver_node type="CHANY" side="bottom" index="4"/> + <driver_node type="CHANY" side="bottom" index="12"/> + <driver_node type="CHANX" side="left" index="2"/> + <driver_node type="CHANX" side="left" index="10"/> + <driver_node type="CHANX" side="left" index="14"/> + </CHANY> + <CHANY side="top" index="18" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="16"/> + </CHANY> + <CHANX side="right" index="0" mux_size="10"> + <driver_node type="CHANY" side="top" index="5"/> + <driver_node type="CHANY" side="top" index="13"/> + <driver_node type="CHANY" side="top" index="19"/> + <driver_node type="OPIN" side="right" index="0"/> + <driver_node type="CHANY" side="bottom" index="2"/> + <driver_node type="CHANY" side="bottom" index="10"/> + <driver_node type="CHANY" side="bottom" index="14"/> + <driver_node type="CHANX" side="left" index="0"/> + <driver_node type="CHANX" side="left" index="8"/> + <driver_node type="CHANX" side="left" index="16"/> + </CHANX> + <CHANX side="right" index="2" mux_size="0"> + <driver_node type="CHANX" side="left" index="0"/> + </CHANX> + <CHANX side="right" index="4" mux_size="0"> + <driver_node type="CHANX" side="left" index="2"/> + </CHANX> + <CHANX side="right" index="6" mux_size="0"> + <driver_node type="CHANX" side="left" index="4"/> + </CHANX> + <CHANX side="right" index="8" mux_size="11"> + <driver_node type="CHANY" side="top" index="1"/> + <driver_node type="CHANY" side="top" index="7"/> + <driver_node type="CHANY" side="top" index="9"/> + <driver_node type="CHANY" side="top" index="17"/> + <driver_node type="OPIN" side="right" index="1"/> + <driver_node type="CHANY" side="bottom" index="0"/> + <driver_node type="CHANY" side="bottom" index="6"/> + <driver_node type="CHANY" side="bottom" index="8"/> + <driver_node type="CHANY" side="bottom" index="16"/> + <driver_node type="CHANX" side="left" index="2"/> + <driver_node type="CHANX" side="left" index="10"/> + </CHANX> + <CHANX side="right" index="10" mux_size="0"> + <driver_node type="CHANX" side="left" index="8"/> + </CHANX> + <CHANX side="right" index="12" mux_size="0"> + <driver_node type="CHANX" side="left" index="10"/> + </CHANX> + <CHANX side="right" index="14" mux_size="0"> + <driver_node type="CHANX" side="left" index="12"/> + </CHANX> + <CHANX side="right" index="16" mux_size="8"> + <driver_node type="CHANY" side="top" index="3"/> + <driver_node type="CHANY" side="top" index="11"/> + <driver_node type="CHANY" side="top" index="15"/> + <driver_node type="CHANY" side="bottom" index="4"/> + <driver_node type="CHANY" side="bottom" index="12"/> + <driver_node type="CHANY" side="bottom" index="18"/> + <driver_node type="CHANX" side="left" index="4"/> + <driver_node type="CHANX" side="left" index="12"/> + </CHANX> + <CHANX side="right" index="18" mux_size="0"> + <driver_node type="CHANX" side="left" index="16"/> + </CHANX> + <CHANY side="bottom" index="1" mux_size="10"> + <driver_node type="CHANY" side="top" index="1"/> + <driver_node type="CHANY" side="top" index="9"/> + <driver_node type="CHANY" side="top" index="17"/> + <driver_node type="CHANX" side="right" index="3"/> + <driver_node type="CHANX" side="right" index="11"/> + <driver_node type="CHANX" side="right" index="15"/> + <driver_node type="OPIN" side="bottom" index="0"/> + <driver_node type="CHANX" side="left" index="2"/> + <driver_node type="CHANX" side="left" index="10"/> + <driver_node type="CHANX" side="left" index="14"/> + </CHANY> + <CHANY side="bottom" index="3" mux_size="0"> + <driver_node type="CHANY" side="top" index="1"/> + </CHANY> + <CHANY side="bottom" index="5" mux_size="0"> + <driver_node type="CHANY" side="top" index="3"/> + </CHANY> + <CHANY side="bottom" index="7" mux_size="0"> + <driver_node type="CHANY" side="top" index="5"/> + </CHANY> + <CHANY side="bottom" index="9" mux_size="10"> + <driver_node type="CHANY" side="top" index="3"/> + <driver_node type="CHANY" side="top" index="11"/> + <driver_node type="CHANX" side="right" index="1"/> + <driver_node type="CHANX" side="right" index="7"/> + <driver_node type="CHANX" side="right" index="9"/> + <driver_node type="CHANX" side="right" index="17"/> + <driver_node type="OPIN" side="bottom" index="1"/> + <driver_node type="CHANX" side="left" index="4"/> + <driver_node type="CHANX" side="left" index="12"/> + <driver_node type="CHANX" side="left" index="18"/> + </CHANY> + <CHANY side="bottom" index="11" mux_size="0"> + <driver_node type="CHANY" side="top" index="9"/> + </CHANY> + <CHANY side="bottom" index="13" mux_size="0"> + <driver_node type="CHANY" side="top" index="11"/> + </CHANY> + <CHANY side="bottom" index="15" mux_size="0"> + <driver_node type="CHANY" side="top" index="13"/> + </CHANY> + <CHANY side="bottom" index="17" mux_size="9"> + <driver_node type="CHANY" side="top" index="5"/> + <driver_node type="CHANY" side="top" index="13"/> + <driver_node type="CHANX" side="right" index="5"/> + <driver_node type="CHANX" side="right" index="13"/> + <driver_node type="CHANX" side="right" index="19"/> + <driver_node type="CHANX" side="left" index="0"/> + <driver_node type="CHANX" side="left" index="6"/> + <driver_node type="CHANX" side="left" index="8"/> + <driver_node type="CHANX" side="left" index="16"/> + </CHANY> + <CHANY side="bottom" index="19" mux_size="0"> + <driver_node type="CHANY" side="top" index="17"/> + </CHANY> + <CHANX side="left" index="1" mux_size="11"> + <driver_node type="CHANY" side="top" index="1"/> + <driver_node type="CHANY" side="top" index="7"/> + <driver_node type="CHANY" side="top" index="9"/> + <driver_node type="CHANY" side="top" index="17"/> + <driver_node type="CHANX" side="right" index="1"/> + <driver_node type="CHANX" side="right" index="9"/> + <driver_node type="CHANX" side="right" index="17"/> + <driver_node type="CHANY" side="bottom" index="4"/> + <driver_node type="CHANY" side="bottom" index="12"/> + <driver_node type="CHANY" side="bottom" index="18"/> + <driver_node type="OPIN" side="left" index="0"/> + </CHANX> + <CHANX side="left" index="3" mux_size="0"> + <driver_node type="CHANX" side="right" index="1"/> + </CHANX> + <CHANX side="left" index="5" mux_size="0"> + <driver_node type="CHANX" side="right" index="3"/> + </CHANX> + <CHANX side="left" index="7" mux_size="0"> + <driver_node type="CHANX" side="right" index="5"/> + </CHANX> + <CHANX side="left" index="9" mux_size="10"> + <driver_node type="CHANY" side="top" index="5"/> + <driver_node type="CHANY" side="top" index="13"/> + <driver_node type="CHANY" side="top" index="19"/> + <driver_node type="CHANX" side="right" index="3"/> + <driver_node type="CHANX" side="right" index="11"/> + <driver_node type="CHANY" side="bottom" index="0"/> + <driver_node type="CHANY" side="bottom" index="6"/> + <driver_node type="CHANY" side="bottom" index="8"/> + <driver_node type="CHANY" side="bottom" index="16"/> + <driver_node type="OPIN" side="left" index="1"/> + </CHANX> + <CHANX side="left" index="11" mux_size="0"> + <driver_node type="CHANX" side="right" index="9"/> + </CHANX> + <CHANX side="left" index="13" mux_size="0"> + <driver_node type="CHANX" side="right" index="11"/> + </CHANX> + <CHANX side="left" index="15" mux_size="0"> + <driver_node type="CHANX" side="right" index="13"/> + </CHANX> + <CHANX side="left" index="17" mux_size="8"> + <driver_node type="CHANY" side="top" index="3"/> + <driver_node type="CHANY" side="top" index="11"/> + <driver_node type="CHANY" side="top" index="15"/> + <driver_node type="CHANX" side="right" index="5"/> + <driver_node type="CHANX" side="right" index="13"/> + <driver_node type="CHANY" side="bottom" index="2"/> + <driver_node type="CHANY" side="bottom" index="10"/> + <driver_node type="CHANY" side="bottom" index="14"/> + </CHANX> + <CHANX side="left" index="19" mux_size="0"> + <driver_node type="CHANX" side="right" index="17"/> + </CHANX> +</rr_sb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_2__4_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_2__4_.xml new file mode 100644 index 000000000..0f72df085 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_2__4_.xml @@ -0,0 +1,150 @@ +<rr_sb x="2" y="4" num_sides="4"> + <CHANX side="right" index="0" mux_size="9"> + <driver_node type="OPIN" side="right" index="0"/> + <driver_node type="OPIN" side="right" index="3"/> + <driver_node type="OPIN" side="right" index="6"/> + <driver_node type="CHANY" side="bottom" index="2"/> + <driver_node type="CHANY" side="bottom" index="8"/> + <driver_node type="CHANY" side="bottom" index="14"/> + <driver_node type="CHANX" side="left" index="0"/> + <driver_node type="CHANX" side="left" index="8"/> + <driver_node type="CHANX" side="left" index="16"/> + </CHANX> + <CHANX side="right" index="2" mux_size="0"> + <driver_node type="CHANX" side="left" index="0"/> + </CHANX> + <CHANX side="right" index="4" mux_size="0"> + <driver_node type="CHANX" side="left" index="2"/> + </CHANX> + <CHANX side="right" index="6" mux_size="0"> + <driver_node type="CHANX" side="left" index="4"/> + </CHANX> + <CHANX side="right" index="8" mux_size="9"> + <driver_node type="OPIN" side="right" index="1"/> + <driver_node type="OPIN" side="right" index="4"/> + <driver_node type="OPIN" side="right" index="7"/> + <driver_node type="CHANY" side="bottom" index="0"/> + <driver_node type="CHANY" side="bottom" index="6"/> + <driver_node type="CHANY" side="bottom" index="12"/> + <driver_node type="CHANY" side="bottom" index="18"/> + <driver_node type="CHANX" side="left" index="2"/> + <driver_node type="CHANX" side="left" index="10"/> + </CHANX> + <CHANX side="right" index="10" mux_size="0"> + <driver_node type="CHANX" side="left" index="8"/> + </CHANX> + <CHANX side="right" index="12" mux_size="0"> + <driver_node type="CHANX" side="left" index="10"/> + </CHANX> + <CHANX side="right" index="14" mux_size="0"> + <driver_node type="CHANX" side="left" index="12"/> + </CHANX> + <CHANX side="right" index="16" mux_size="8"> + <driver_node type="OPIN" side="right" index="2"/> + <driver_node type="OPIN" side="right" index="5"/> + <driver_node type="OPIN" side="right" index="8"/> + <driver_node type="CHANY" side="bottom" index="4"/> + <driver_node type="CHANY" side="bottom" index="10"/> + <driver_node type="CHANY" side="bottom" index="16"/> + <driver_node type="CHANX" side="left" index="4"/> + <driver_node type="CHANX" side="left" index="12"/> + </CHANX> + <CHANX side="right" index="18" mux_size="0"> + <driver_node type="CHANX" side="left" index="16"/> + </CHANX> + <CHANY side="bottom" index="1" mux_size="3"> + <driver_node type="OPIN" side="bottom" index="0"/> + <driver_node type="CHANX" side="left" index="2"/> + <driver_node type="CHANX" side="left" index="14"/> + </CHANY> + <CHANY side="bottom" index="3" mux_size="3"> + <driver_node type="OPIN" side="bottom" index="1"/> + <driver_node type="CHANX" side="left" index="4"/> + <driver_node type="CHANX" side="left" index="18"/> + </CHANY> + <CHANY side="bottom" index="5" mux_size="2"> + <driver_node type="CHANX" side="right" index="17"/> + <driver_node type="CHANX" side="left" index="8"/> + </CHANY> + <CHANY side="bottom" index="7" mux_size="2"> + <driver_node type="CHANX" side="right" index="13"/> + <driver_node type="CHANX" side="left" index="10"/> + </CHANY> + <CHANY side="bottom" index="9" mux_size="2"> + <driver_node type="CHANX" side="right" index="11"/> + <driver_node type="CHANX" side="left" index="12"/> + </CHANY> + <CHANY side="bottom" index="11" mux_size="2"> + <driver_node type="CHANX" side="right" index="9"/> + <driver_node type="CHANX" side="left" index="16"/> + </CHANY> + <CHANY side="bottom" index="13" mux_size="2"> + <driver_node type="CHANX" side="right" index="5"/> + <driver_node type="CHANX" side="right" index="19"/> + </CHANY> + <CHANY side="bottom" index="15" mux_size="2"> + <driver_node type="CHANX" side="right" index="3"/> + <driver_node type="CHANX" side="right" index="15"/> + </CHANY> + <CHANY side="bottom" index="17" mux_size="2"> + <driver_node type="CHANX" side="right" index="1"/> + <driver_node type="CHANX" side="right" index="7"/> + </CHANY> + <CHANY side="bottom" index="19" mux_size="2"> + <driver_node type="CHANX" side="left" index="0"/> + <driver_node type="CHANX" side="left" index="6"/> + </CHANY> + <CHANX side="left" index="1" mux_size="9"> + <driver_node type="CHANX" side="right" index="1"/> + <driver_node type="CHANX" side="right" index="9"/> + <driver_node type="CHANX" side="right" index="17"/> + <driver_node type="CHANY" side="bottom" index="4"/> + <driver_node type="CHANY" side="bottom" index="10"/> + <driver_node type="CHANY" side="bottom" index="16"/> + <driver_node type="OPIN" side="left" index="0"/> + <driver_node type="OPIN" side="left" index="3"/> + <driver_node type="OPIN" side="left" index="6"/> + </CHANX> + <CHANX side="left" index="3" mux_size="0"> + <driver_node type="CHANX" side="right" index="1"/> + </CHANX> + <CHANX side="left" index="5" mux_size="0"> + <driver_node type="CHANX" side="right" index="3"/> + </CHANX> + <CHANX side="left" index="7" mux_size="0"> + <driver_node type="CHANX" side="right" index="5"/> + </CHANX> + <CHANX side="left" index="9" mux_size="9"> + <driver_node type="CHANX" side="right" index="3"/> + <driver_node type="CHANX" side="right" index="11"/> + <driver_node type="CHANY" side="bottom" index="0"/> + <driver_node type="CHANY" side="bottom" index="6"/> + <driver_node type="CHANY" side="bottom" index="12"/> + <driver_node type="CHANY" side="bottom" index="18"/> + <driver_node type="OPIN" side="left" index="1"/> + <driver_node type="OPIN" side="left" index="4"/> + <driver_node type="OPIN" side="left" index="7"/> + </CHANX> + <CHANX side="left" index="11" mux_size="0"> + <driver_node type="CHANX" side="right" index="9"/> + </CHANX> + <CHANX side="left" index="13" mux_size="0"> + <driver_node type="CHANX" side="right" index="11"/> + </CHANX> + <CHANX side="left" index="15" mux_size="0"> + <driver_node type="CHANX" side="right" index="13"/> + </CHANX> + <CHANX side="left" index="17" mux_size="8"> + <driver_node type="CHANX" side="right" index="5"/> + <driver_node type="CHANX" side="right" index="13"/> + <driver_node type="CHANY" side="bottom" index="2"/> + <driver_node type="CHANY" side="bottom" index="8"/> + <driver_node type="CHANY" side="bottom" index="14"/> + <driver_node type="OPIN" side="left" index="2"/> + <driver_node type="OPIN" side="left" index="5"/> + <driver_node type="OPIN" side="left" index="8"/> + </CHANX> + <CHANX side="left" index="19" mux_size="0"> + <driver_node type="CHANX" side="right" index="17"/> + </CHANX> +</rr_sb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_3__0_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_3__0_.xml new file mode 100644 index 000000000..2b6fb344c --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_3__0_.xml @@ -0,0 +1,150 @@ +<rr_sb x="3" y="0" num_sides="4"> + <CHANY side="top" index="0" mux_size="5"> + <driver_node type="OPIN" side="top" index="0"/> + <driver_node type="CHANX" side="right" index="3"/> + <driver_node type="CHANX" side="right" index="15"/> + <driver_node type="CHANX" side="left" index="0"/> + <driver_node type="CHANX" side="left" index="6"/> + </CHANY> + <CHANY side="top" index="2" mux_size="3"> + <driver_node type="OPIN" side="top" index="1"/> + <driver_node type="CHANX" side="right" index="5"/> + <driver_node type="CHANX" side="right" index="19"/> + </CHANY> + <CHANY side="top" index="4" mux_size="1"> + <driver_node type="CHANX" side="right" index="9"/> + </CHANY> + <CHANY side="top" index="6" mux_size="1"> + <driver_node type="CHANX" side="right" index="11"/> + </CHANY> + <CHANY side="top" index="8" mux_size="2"> + <driver_node type="CHANX" side="right" index="13"/> + <driver_node type="CHANX" side="left" index="16"/> + </CHANY> + <CHANY side="top" index="10" mux_size="2"> + <driver_node type="CHANX" side="right" index="17"/> + <driver_node type="CHANX" side="left" index="12"/> + </CHANY> + <CHANY side="top" index="12" mux_size="1"> + <driver_node type="CHANX" side="left" index="10"/> + </CHANY> + <CHANY side="top" index="14" mux_size="1"> + <driver_node type="CHANX" side="left" index="8"/> + </CHANY> + <CHANY side="top" index="16" mux_size="2"> + <driver_node type="CHANX" side="left" index="4"/> + <driver_node type="CHANX" side="left" index="18"/> + </CHANY> + <CHANY side="top" index="18" mux_size="4"> + <driver_node type="CHANX" side="right" index="1"/> + <driver_node type="CHANX" side="right" index="7"/> + <driver_node type="CHANX" side="left" index="2"/> + <driver_node type="CHANX" side="left" index="14"/> + </CHANY> + <CHANX side="right" index="0" mux_size="9"> + <driver_node type="CHANY" side="top" index="5"/> + <driver_node type="CHANY" side="top" index="11"/> + <driver_node type="CHANY" side="top" index="17"/> + <driver_node type="OPIN" side="right" index="0"/> + <driver_node type="OPIN" side="right" index="3"/> + <driver_node type="OPIN" side="right" index="6"/> + <driver_node type="CHANX" side="left" index="0"/> + <driver_node type="CHANX" side="left" index="8"/> + <driver_node type="CHANX" side="left" index="16"/> + </CHANX> + <CHANX side="right" index="2" mux_size="0"> + <driver_node type="CHANX" side="left" index="0"/> + </CHANX> + <CHANX side="right" index="4" mux_size="0"> + <driver_node type="CHANX" side="left" index="2"/> + </CHANX> + <CHANX side="right" index="6" mux_size="0"> + <driver_node type="CHANX" side="left" index="4"/> + </CHANX> + <CHANX side="right" index="8" mux_size="9"> + <driver_node type="CHANY" side="top" index="1"/> + <driver_node type="CHANY" side="top" index="7"/> + <driver_node type="CHANY" side="top" index="13"/> + <driver_node type="CHANY" side="top" index="19"/> + <driver_node type="OPIN" side="right" index="1"/> + <driver_node type="OPIN" side="right" index="4"/> + <driver_node type="OPIN" side="right" index="7"/> + <driver_node type="CHANX" side="left" index="2"/> + <driver_node type="CHANX" side="left" index="10"/> + </CHANX> + <CHANX side="right" index="10" mux_size="0"> + <driver_node type="CHANX" side="left" index="8"/> + </CHANX> + <CHANX side="right" index="12" mux_size="0"> + <driver_node type="CHANX" side="left" index="10"/> + </CHANX> + <CHANX side="right" index="14" mux_size="0"> + <driver_node type="CHANX" side="left" index="12"/> + </CHANX> + <CHANX side="right" index="16" mux_size="8"> + <driver_node type="CHANY" side="top" index="3"/> + <driver_node type="CHANY" side="top" index="9"/> + <driver_node type="CHANY" side="top" index="15"/> + <driver_node type="OPIN" side="right" index="2"/> + <driver_node type="OPIN" side="right" index="5"/> + <driver_node type="OPIN" side="right" index="8"/> + <driver_node type="CHANX" side="left" index="4"/> + <driver_node type="CHANX" side="left" index="12"/> + </CHANX> + <CHANX side="right" index="18" mux_size="0"> + <driver_node type="CHANX" side="left" index="16"/> + </CHANX> + <CHANX side="left" index="1" mux_size="10"> + <driver_node type="CHANY" side="top" index="1"/> + <driver_node type="CHANY" side="top" index="7"/> + <driver_node type="CHANY" side="top" index="13"/> + <driver_node type="CHANY" side="top" index="19"/> + <driver_node type="CHANX" side="right" index="1"/> + <driver_node type="CHANX" side="right" index="9"/> + <driver_node type="CHANX" side="right" index="17"/> + <driver_node type="OPIN" side="left" index="0"/> + <driver_node type="OPIN" side="left" index="3"/> + <driver_node type="OPIN" side="left" index="6"/> + </CHANX> + <CHANX side="left" index="3" mux_size="0"> + <driver_node type="CHANX" side="right" index="1"/> + </CHANX> + <CHANX side="left" index="5" mux_size="0"> + <driver_node type="CHANX" side="right" index="3"/> + </CHANX> + <CHANX side="left" index="7" mux_size="0"> + <driver_node type="CHANX" side="right" index="5"/> + </CHANX> + <CHANX side="left" index="9" mux_size="8"> + <driver_node type="CHANY" side="top" index="5"/> + <driver_node type="CHANY" side="top" index="11"/> + <driver_node type="CHANY" side="top" index="17"/> + <driver_node type="CHANX" side="right" index="3"/> + <driver_node type="CHANX" side="right" index="11"/> + <driver_node type="OPIN" side="left" index="1"/> + <driver_node type="OPIN" side="left" index="4"/> + <driver_node type="OPIN" side="left" index="7"/> + </CHANX> + <CHANX side="left" index="11" mux_size="0"> + <driver_node type="CHANX" side="right" index="9"/> + </CHANX> + <CHANX side="left" index="13" mux_size="0"> + <driver_node type="CHANX" side="right" index="11"/> + </CHANX> + <CHANX side="left" index="15" mux_size="0"> + <driver_node type="CHANX" side="right" index="13"/> + </CHANX> + <CHANX side="left" index="17" mux_size="8"> + <driver_node type="CHANY" side="top" index="3"/> + <driver_node type="CHANY" side="top" index="9"/> + <driver_node type="CHANY" side="top" index="15"/> + <driver_node type="CHANX" side="right" index="5"/> + <driver_node type="CHANX" side="right" index="13"/> + <driver_node type="OPIN" side="left" index="2"/> + <driver_node type="OPIN" side="left" index="5"/> + <driver_node type="OPIN" side="left" index="8"/> + </CHANX> + <CHANX side="left" index="19" mux_size="0"> + <driver_node type="CHANX" side="right" index="17"/> + </CHANX> +</rr_sb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_3__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_3__1_.xml new file mode 100644 index 000000000..99a5cb2ab --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_3__1_.xml @@ -0,0 +1,226 @@ +<rr_sb x="3" y="1" num_sides="4"> + <CHANY side="top" index="0" mux_size="11"> + <driver_node type="OPIN" side="top" index="0"/> + <driver_node type="CHANX" side="right" index="3"/> + <driver_node type="CHANX" side="right" index="11"/> + <driver_node type="CHANX" side="right" index="15"/> + <driver_node type="CHANY" side="bottom" index="0"/> + <driver_node type="CHANY" side="bottom" index="8"/> + <driver_node type="CHANY" side="bottom" index="16"/> + <driver_node type="CHANX" side="left" index="0"/> + <driver_node type="CHANX" side="left" index="6"/> + <driver_node type="CHANX" side="left" index="8"/> + <driver_node type="CHANX" side="left" index="16"/> + </CHANY> + <CHANY side="top" index="2" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="0"/> + </CHANY> + <CHANY side="top" index="4" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="2"/> + </CHANY> + <CHANY side="top" index="6" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="4"/> + </CHANY> + <CHANY side="top" index="8" mux_size="9"> + <driver_node type="OPIN" side="top" index="1"/> + <driver_node type="CHANX" side="right" index="5"/> + <driver_node type="CHANX" side="right" index="13"/> + <driver_node type="CHANX" side="right" index="19"/> + <driver_node type="CHANY" side="bottom" index="2"/> + <driver_node type="CHANY" side="bottom" index="10"/> + <driver_node type="CHANX" side="left" index="4"/> + <driver_node type="CHANX" side="left" index="12"/> + <driver_node type="CHANX" side="left" index="18"/> + </CHANY> + <CHANY side="top" index="10" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="8"/> + </CHANY> + <CHANY side="top" index="12" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="10"/> + </CHANY> + <CHANY side="top" index="14" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="12"/> + </CHANY> + <CHANY side="top" index="16" mux_size="9"> + <driver_node type="CHANX" side="right" index="1"/> + <driver_node type="CHANX" side="right" index="7"/> + <driver_node type="CHANX" side="right" index="9"/> + <driver_node type="CHANX" side="right" index="17"/> + <driver_node type="CHANY" side="bottom" index="4"/> + <driver_node type="CHANY" side="bottom" index="12"/> + <driver_node type="CHANX" side="left" index="2"/> + <driver_node type="CHANX" side="left" index="10"/> + <driver_node type="CHANX" side="left" index="14"/> + </CHANY> + <CHANY side="top" index="18" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="16"/> + </CHANY> + <CHANX side="right" index="0" mux_size="10"> + <driver_node type="CHANY" side="top" index="5"/> + <driver_node type="CHANY" side="top" index="13"/> + <driver_node type="CHANY" side="top" index="19"/> + <driver_node type="OPIN" side="right" index="0"/> + <driver_node type="CHANY" side="bottom" index="2"/> + <driver_node type="CHANY" side="bottom" index="10"/> + <driver_node type="CHANY" side="bottom" index="14"/> + <driver_node type="CHANX" side="left" index="0"/> + <driver_node type="CHANX" side="left" index="8"/> + <driver_node type="CHANX" side="left" index="16"/> + </CHANX> + <CHANX side="right" index="2" mux_size="0"> + <driver_node type="CHANX" side="left" index="0"/> + </CHANX> + <CHANX side="right" index="4" mux_size="0"> + <driver_node type="CHANX" side="left" index="2"/> + </CHANX> + <CHANX side="right" index="6" mux_size="0"> + <driver_node type="CHANX" side="left" index="4"/> + </CHANX> + <CHANX side="right" index="8" mux_size="11"> + <driver_node type="CHANY" side="top" index="1"/> + <driver_node type="CHANY" side="top" index="7"/> + <driver_node type="CHANY" side="top" index="9"/> + <driver_node type="CHANY" side="top" index="17"/> + <driver_node type="OPIN" side="right" index="1"/> + <driver_node type="CHANY" side="bottom" index="0"/> + <driver_node type="CHANY" side="bottom" index="6"/> + <driver_node type="CHANY" side="bottom" index="8"/> + <driver_node type="CHANY" side="bottom" index="16"/> + <driver_node type="CHANX" side="left" index="2"/> + <driver_node type="CHANX" side="left" index="10"/> + </CHANX> + <CHANX side="right" index="10" mux_size="0"> + <driver_node type="CHANX" side="left" index="8"/> + </CHANX> + <CHANX side="right" index="12" mux_size="0"> + <driver_node type="CHANX" side="left" index="10"/> + </CHANX> + <CHANX side="right" index="14" mux_size="0"> + <driver_node type="CHANX" side="left" index="12"/> + </CHANX> + <CHANX side="right" index="16" mux_size="8"> + <driver_node type="CHANY" side="top" index="3"/> + <driver_node type="CHANY" side="top" index="11"/> + <driver_node type="CHANY" side="top" index="15"/> + <driver_node type="CHANY" side="bottom" index="4"/> + <driver_node type="CHANY" side="bottom" index="12"/> + <driver_node type="CHANY" side="bottom" index="18"/> + <driver_node type="CHANX" side="left" index="4"/> + <driver_node type="CHANX" side="left" index="12"/> + </CHANX> + <CHANX side="right" index="18" mux_size="0"> + <driver_node type="CHANX" side="left" index="16"/> + </CHANX> + <CHANY side="bottom" index="1" mux_size="10"> + <driver_node type="CHANY" side="top" index="1"/> + <driver_node type="CHANY" side="top" index="9"/> + <driver_node type="CHANY" side="top" index="17"/> + <driver_node type="CHANX" side="right" index="3"/> + <driver_node type="CHANX" side="right" index="11"/> + <driver_node type="CHANX" side="right" index="15"/> + <driver_node type="OPIN" side="bottom" index="0"/> + <driver_node type="CHANX" side="left" index="2"/> + <driver_node type="CHANX" side="left" index="10"/> + <driver_node type="CHANX" side="left" index="14"/> + </CHANY> + <CHANY side="bottom" index="3" mux_size="0"> + <driver_node type="CHANY" side="top" index="1"/> + </CHANY> + <CHANY side="bottom" index="5" mux_size="0"> + <driver_node type="CHANY" side="top" index="3"/> + </CHANY> + <CHANY side="bottom" index="7" mux_size="0"> + <driver_node type="CHANY" side="top" index="5"/> + </CHANY> + <CHANY side="bottom" index="9" mux_size="10"> + <driver_node type="CHANY" side="top" index="3"/> + <driver_node type="CHANY" side="top" index="11"/> + <driver_node type="CHANX" side="right" index="1"/> + <driver_node type="CHANX" side="right" index="7"/> + <driver_node type="CHANX" side="right" index="9"/> + <driver_node type="CHANX" side="right" index="17"/> + <driver_node type="OPIN" side="bottom" index="1"/> + <driver_node type="CHANX" side="left" index="4"/> + <driver_node type="CHANX" side="left" index="12"/> + <driver_node type="CHANX" side="left" index="18"/> + </CHANY> + <CHANY side="bottom" index="11" mux_size="0"> + <driver_node type="CHANY" side="top" index="9"/> + </CHANY> + <CHANY side="bottom" index="13" mux_size="0"> + <driver_node type="CHANY" side="top" index="11"/> + </CHANY> + <CHANY side="bottom" index="15" mux_size="0"> + <driver_node type="CHANY" side="top" index="13"/> + </CHANY> + <CHANY side="bottom" index="17" mux_size="9"> + <driver_node type="CHANY" side="top" index="5"/> + <driver_node type="CHANY" side="top" index="13"/> + <driver_node type="CHANX" side="right" index="5"/> + <driver_node type="CHANX" side="right" index="13"/> + <driver_node type="CHANX" side="right" index="19"/> + <driver_node type="CHANX" side="left" index="0"/> + <driver_node type="CHANX" side="left" index="6"/> + <driver_node type="CHANX" side="left" index="8"/> + <driver_node type="CHANX" side="left" index="16"/> + </CHANY> + <CHANY side="bottom" index="19" mux_size="0"> + <driver_node type="CHANY" side="top" index="17"/> + </CHANY> + <CHANX side="left" index="1" mux_size="11"> + <driver_node type="CHANY" side="top" index="1"/> + <driver_node type="CHANY" side="top" index="7"/> + <driver_node type="CHANY" side="top" index="9"/> + <driver_node type="CHANY" side="top" index="17"/> + <driver_node type="CHANX" side="right" index="1"/> + <driver_node type="CHANX" side="right" index="9"/> + <driver_node type="CHANX" side="right" index="17"/> + <driver_node type="CHANY" side="bottom" index="4"/> + <driver_node type="CHANY" side="bottom" index="12"/> + <driver_node type="CHANY" side="bottom" index="18"/> + <driver_node type="OPIN" side="left" index="0"/> + </CHANX> + <CHANX side="left" index="3" mux_size="0"> + <driver_node type="CHANX" side="right" index="1"/> + </CHANX> + <CHANX side="left" index="5" mux_size="0"> + <driver_node type="CHANX" side="right" index="3"/> + </CHANX> + <CHANX side="left" index="7" mux_size="0"> + <driver_node type="CHANX" side="right" index="5"/> + </CHANX> + <CHANX side="left" index="9" mux_size="10"> + <driver_node type="CHANY" side="top" index="5"/> + <driver_node type="CHANY" side="top" index="13"/> + <driver_node type="CHANY" side="top" index="19"/> + <driver_node type="CHANX" side="right" index="3"/> + <driver_node type="CHANX" side="right" index="11"/> + <driver_node type="CHANY" side="bottom" index="0"/> + <driver_node type="CHANY" side="bottom" index="6"/> + <driver_node type="CHANY" side="bottom" index="8"/> + <driver_node type="CHANY" side="bottom" index="16"/> + <driver_node type="OPIN" side="left" index="1"/> + </CHANX> + <CHANX side="left" index="11" mux_size="0"> + <driver_node type="CHANX" side="right" index="9"/> + </CHANX> + <CHANX side="left" index="13" mux_size="0"> + <driver_node type="CHANX" side="right" index="11"/> + </CHANX> + <CHANX side="left" index="15" mux_size="0"> + <driver_node type="CHANX" side="right" index="13"/> + </CHANX> + <CHANX side="left" index="17" mux_size="8"> + <driver_node type="CHANY" side="top" index="3"/> + <driver_node type="CHANY" side="top" index="11"/> + <driver_node type="CHANY" side="top" index="15"/> + <driver_node type="CHANX" side="right" index="5"/> + <driver_node type="CHANX" side="right" index="13"/> + <driver_node type="CHANY" side="bottom" index="2"/> + <driver_node type="CHANY" side="bottom" index="10"/> + <driver_node type="CHANY" side="bottom" index="14"/> + </CHANX> + <CHANX side="left" index="19" mux_size="0"> + <driver_node type="CHANX" side="right" index="17"/> + </CHANX> +</rr_sb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_3__2_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_3__2_.xml new file mode 100644 index 000000000..c7d022410 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_3__2_.xml @@ -0,0 +1,226 @@ +<rr_sb x="3" y="2" num_sides="4"> + <CHANY side="top" index="0" mux_size="11"> + <driver_node type="OPIN" side="top" index="0"/> + <driver_node type="CHANX" side="right" index="3"/> + <driver_node type="CHANX" side="right" index="11"/> + <driver_node type="CHANX" side="right" index="15"/> + <driver_node type="CHANY" side="bottom" index="0"/> + <driver_node type="CHANY" side="bottom" index="8"/> + <driver_node type="CHANY" side="bottom" index="16"/> + <driver_node type="CHANX" side="left" index="0"/> + <driver_node type="CHANX" side="left" index="6"/> + <driver_node type="CHANX" side="left" index="8"/> + <driver_node type="CHANX" side="left" index="16"/> + </CHANY> + <CHANY side="top" index="2" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="0"/> + </CHANY> + <CHANY side="top" index="4" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="2"/> + </CHANY> + <CHANY side="top" index="6" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="4"/> + </CHANY> + <CHANY side="top" index="8" mux_size="9"> + <driver_node type="OPIN" side="top" index="1"/> + <driver_node type="CHANX" side="right" index="5"/> + <driver_node type="CHANX" side="right" index="13"/> + <driver_node type="CHANX" side="right" index="19"/> + <driver_node type="CHANY" side="bottom" index="2"/> + <driver_node type="CHANY" side="bottom" index="10"/> + <driver_node type="CHANX" side="left" index="4"/> + <driver_node type="CHANX" side="left" index="12"/> + <driver_node type="CHANX" side="left" index="18"/> + </CHANY> + <CHANY side="top" index="10" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="8"/> + </CHANY> + <CHANY side="top" index="12" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="10"/> + </CHANY> + <CHANY side="top" index="14" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="12"/> + </CHANY> + <CHANY side="top" index="16" mux_size="9"> + <driver_node type="CHANX" side="right" index="1"/> + <driver_node type="CHANX" side="right" index="7"/> + <driver_node type="CHANX" side="right" index="9"/> + <driver_node type="CHANX" side="right" index="17"/> + <driver_node type="CHANY" side="bottom" index="4"/> + <driver_node type="CHANY" side="bottom" index="12"/> + <driver_node type="CHANX" side="left" index="2"/> + <driver_node type="CHANX" side="left" index="10"/> + <driver_node type="CHANX" side="left" index="14"/> + </CHANY> + <CHANY side="top" index="18" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="16"/> + </CHANY> + <CHANX side="right" index="0" mux_size="10"> + <driver_node type="CHANY" side="top" index="5"/> + <driver_node type="CHANY" side="top" index="13"/> + <driver_node type="CHANY" side="top" index="19"/> + <driver_node type="OPIN" side="right" index="0"/> + <driver_node type="CHANY" side="bottom" index="2"/> + <driver_node type="CHANY" side="bottom" index="10"/> + <driver_node type="CHANY" side="bottom" index="14"/> + <driver_node type="CHANX" side="left" index="0"/> + <driver_node type="CHANX" side="left" index="8"/> + <driver_node type="CHANX" side="left" index="16"/> + </CHANX> + <CHANX side="right" index="2" mux_size="0"> + <driver_node type="CHANX" side="left" index="0"/> + </CHANX> + <CHANX side="right" index="4" mux_size="0"> + <driver_node type="CHANX" side="left" index="2"/> + </CHANX> + <CHANX side="right" index="6" mux_size="0"> + <driver_node type="CHANX" side="left" index="4"/> + </CHANX> + <CHANX side="right" index="8" mux_size="11"> + <driver_node type="CHANY" side="top" index="1"/> + <driver_node type="CHANY" side="top" index="7"/> + <driver_node type="CHANY" side="top" index="9"/> + <driver_node type="CHANY" side="top" index="17"/> + <driver_node type="OPIN" side="right" index="1"/> + <driver_node type="CHANY" side="bottom" index="0"/> + <driver_node type="CHANY" side="bottom" index="6"/> + <driver_node type="CHANY" side="bottom" index="8"/> + <driver_node type="CHANY" side="bottom" index="16"/> + <driver_node type="CHANX" side="left" index="2"/> + <driver_node type="CHANX" side="left" index="10"/> + </CHANX> + <CHANX side="right" index="10" mux_size="0"> + <driver_node type="CHANX" side="left" index="8"/> + </CHANX> + <CHANX side="right" index="12" mux_size="0"> + <driver_node type="CHANX" side="left" index="10"/> + </CHANX> + <CHANX side="right" index="14" mux_size="0"> + <driver_node type="CHANX" side="left" index="12"/> + </CHANX> + <CHANX side="right" index="16" mux_size="8"> + <driver_node type="CHANY" side="top" index="3"/> + <driver_node type="CHANY" side="top" index="11"/> + <driver_node type="CHANY" side="top" index="15"/> + <driver_node type="CHANY" side="bottom" index="4"/> + <driver_node type="CHANY" side="bottom" index="12"/> + <driver_node type="CHANY" side="bottom" index="18"/> + <driver_node type="CHANX" side="left" index="4"/> + <driver_node type="CHANX" side="left" index="12"/> + </CHANX> + <CHANX side="right" index="18" mux_size="0"> + <driver_node type="CHANX" side="left" index="16"/> + </CHANX> + <CHANY side="bottom" index="1" mux_size="10"> + <driver_node type="CHANY" side="top" index="1"/> + <driver_node type="CHANY" side="top" index="9"/> + <driver_node type="CHANY" side="top" index="17"/> + <driver_node type="CHANX" side="right" index="3"/> + <driver_node type="CHANX" side="right" index="11"/> + <driver_node type="CHANX" side="right" index="15"/> + <driver_node type="OPIN" side="bottom" index="0"/> + <driver_node type="CHANX" side="left" index="2"/> + <driver_node type="CHANX" side="left" index="10"/> + <driver_node type="CHANX" side="left" index="14"/> + </CHANY> + <CHANY side="bottom" index="3" mux_size="0"> + <driver_node type="CHANY" side="top" index="1"/> + </CHANY> + <CHANY side="bottom" index="5" mux_size="0"> + <driver_node type="CHANY" side="top" index="3"/> + </CHANY> + <CHANY side="bottom" index="7" mux_size="0"> + <driver_node type="CHANY" side="top" index="5"/> + </CHANY> + <CHANY side="bottom" index="9" mux_size="10"> + <driver_node type="CHANY" side="top" index="3"/> + <driver_node type="CHANY" side="top" index="11"/> + <driver_node type="CHANX" side="right" index="1"/> + <driver_node type="CHANX" side="right" index="7"/> + <driver_node type="CHANX" side="right" index="9"/> + <driver_node type="CHANX" side="right" index="17"/> + <driver_node type="OPIN" side="bottom" index="1"/> + <driver_node type="CHANX" side="left" index="4"/> + <driver_node type="CHANX" side="left" index="12"/> + <driver_node type="CHANX" side="left" index="18"/> + </CHANY> + <CHANY side="bottom" index="11" mux_size="0"> + <driver_node type="CHANY" side="top" index="9"/> + </CHANY> + <CHANY side="bottom" index="13" mux_size="0"> + <driver_node type="CHANY" side="top" index="11"/> + </CHANY> + <CHANY side="bottom" index="15" mux_size="0"> + <driver_node type="CHANY" side="top" index="13"/> + </CHANY> + <CHANY side="bottom" index="17" mux_size="9"> + <driver_node type="CHANY" side="top" index="5"/> + <driver_node type="CHANY" side="top" index="13"/> + <driver_node type="CHANX" side="right" index="5"/> + <driver_node type="CHANX" side="right" index="13"/> + <driver_node type="CHANX" side="right" index="19"/> + <driver_node type="CHANX" side="left" index="0"/> + <driver_node type="CHANX" side="left" index="6"/> + <driver_node type="CHANX" side="left" index="8"/> + <driver_node type="CHANX" side="left" index="16"/> + </CHANY> + <CHANY side="bottom" index="19" mux_size="0"> + <driver_node type="CHANY" side="top" index="17"/> + </CHANY> + <CHANX side="left" index="1" mux_size="11"> + <driver_node type="CHANY" side="top" index="1"/> + <driver_node type="CHANY" side="top" index="7"/> + <driver_node type="CHANY" side="top" index="9"/> + <driver_node type="CHANY" side="top" index="17"/> + <driver_node type="CHANX" side="right" index="1"/> + <driver_node type="CHANX" side="right" index="9"/> + <driver_node type="CHANX" side="right" index="17"/> + <driver_node type="CHANY" side="bottom" index="4"/> + <driver_node type="CHANY" side="bottom" index="12"/> + <driver_node type="CHANY" side="bottom" index="18"/> + <driver_node type="OPIN" side="left" index="0"/> + </CHANX> + <CHANX side="left" index="3" mux_size="0"> + <driver_node type="CHANX" side="right" index="1"/> + </CHANX> + <CHANX side="left" index="5" mux_size="0"> + <driver_node type="CHANX" side="right" index="3"/> + </CHANX> + <CHANX side="left" index="7" mux_size="0"> + <driver_node type="CHANX" side="right" index="5"/> + </CHANX> + <CHANX side="left" index="9" mux_size="10"> + <driver_node type="CHANY" side="top" index="5"/> + <driver_node type="CHANY" side="top" index="13"/> + <driver_node type="CHANY" side="top" index="19"/> + <driver_node type="CHANX" side="right" index="3"/> + <driver_node type="CHANX" side="right" index="11"/> + <driver_node type="CHANY" side="bottom" index="0"/> + <driver_node type="CHANY" side="bottom" index="6"/> + <driver_node type="CHANY" side="bottom" index="8"/> + <driver_node type="CHANY" side="bottom" index="16"/> + <driver_node type="OPIN" side="left" index="1"/> + </CHANX> + <CHANX side="left" index="11" mux_size="0"> + <driver_node type="CHANX" side="right" index="9"/> + </CHANX> + <CHANX side="left" index="13" mux_size="0"> + <driver_node type="CHANX" side="right" index="11"/> + </CHANX> + <CHANX side="left" index="15" mux_size="0"> + <driver_node type="CHANX" side="right" index="13"/> + </CHANX> + <CHANX side="left" index="17" mux_size="8"> + <driver_node type="CHANY" side="top" index="3"/> + <driver_node type="CHANY" side="top" index="11"/> + <driver_node type="CHANY" side="top" index="15"/> + <driver_node type="CHANX" side="right" index="5"/> + <driver_node type="CHANX" side="right" index="13"/> + <driver_node type="CHANY" side="bottom" index="2"/> + <driver_node type="CHANY" side="bottom" index="10"/> + <driver_node type="CHANY" side="bottom" index="14"/> + </CHANX> + <CHANX side="left" index="19" mux_size="0"> + <driver_node type="CHANX" side="right" index="17"/> + </CHANX> +</rr_sb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_3__3_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_3__3_.xml new file mode 100644 index 000000000..0ce900d97 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_3__3_.xml @@ -0,0 +1,226 @@ +<rr_sb x="3" y="3" num_sides="4"> + <CHANY side="top" index="0" mux_size="11"> + <driver_node type="OPIN" side="top" index="0"/> + <driver_node type="CHANX" side="right" index="3"/> + <driver_node type="CHANX" side="right" index="11"/> + <driver_node type="CHANX" side="right" index="15"/> + <driver_node type="CHANY" side="bottom" index="0"/> + <driver_node type="CHANY" side="bottom" index="8"/> + <driver_node type="CHANY" side="bottom" index="16"/> + <driver_node type="CHANX" side="left" index="0"/> + <driver_node type="CHANX" side="left" index="6"/> + <driver_node type="CHANX" side="left" index="8"/> + <driver_node type="CHANX" side="left" index="16"/> + </CHANY> + <CHANY side="top" index="2" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="0"/> + </CHANY> + <CHANY side="top" index="4" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="2"/> + </CHANY> + <CHANY side="top" index="6" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="4"/> + </CHANY> + <CHANY side="top" index="8" mux_size="9"> + <driver_node type="OPIN" side="top" index="1"/> + <driver_node type="CHANX" side="right" index="5"/> + <driver_node type="CHANX" side="right" index="13"/> + <driver_node type="CHANX" side="right" index="19"/> + <driver_node type="CHANY" side="bottom" index="2"/> + <driver_node type="CHANY" side="bottom" index="10"/> + <driver_node type="CHANX" side="left" index="4"/> + <driver_node type="CHANX" side="left" index="12"/> + <driver_node type="CHANX" side="left" index="18"/> + </CHANY> + <CHANY side="top" index="10" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="8"/> + </CHANY> + <CHANY side="top" index="12" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="10"/> + </CHANY> + <CHANY side="top" index="14" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="12"/> + </CHANY> + <CHANY side="top" index="16" mux_size="9"> + <driver_node type="CHANX" side="right" index="1"/> + <driver_node type="CHANX" side="right" index="7"/> + <driver_node type="CHANX" side="right" index="9"/> + <driver_node type="CHANX" side="right" index="17"/> + <driver_node type="CHANY" side="bottom" index="4"/> + <driver_node type="CHANY" side="bottom" index="12"/> + <driver_node type="CHANX" side="left" index="2"/> + <driver_node type="CHANX" side="left" index="10"/> + <driver_node type="CHANX" side="left" index="14"/> + </CHANY> + <CHANY side="top" index="18" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="16"/> + </CHANY> + <CHANX side="right" index="0" mux_size="10"> + <driver_node type="CHANY" side="top" index="5"/> + <driver_node type="CHANY" side="top" index="13"/> + <driver_node type="CHANY" side="top" index="19"/> + <driver_node type="OPIN" side="right" index="0"/> + <driver_node type="CHANY" side="bottom" index="2"/> + <driver_node type="CHANY" side="bottom" index="10"/> + <driver_node type="CHANY" side="bottom" index="14"/> + <driver_node type="CHANX" side="left" index="0"/> + <driver_node type="CHANX" side="left" index="8"/> + <driver_node type="CHANX" side="left" index="16"/> + </CHANX> + <CHANX side="right" index="2" mux_size="0"> + <driver_node type="CHANX" side="left" index="0"/> + </CHANX> + <CHANX side="right" index="4" mux_size="0"> + <driver_node type="CHANX" side="left" index="2"/> + </CHANX> + <CHANX side="right" index="6" mux_size="0"> + <driver_node type="CHANX" side="left" index="4"/> + </CHANX> + <CHANX side="right" index="8" mux_size="11"> + <driver_node type="CHANY" side="top" index="1"/> + <driver_node type="CHANY" side="top" index="7"/> + <driver_node type="CHANY" side="top" index="9"/> + <driver_node type="CHANY" side="top" index="17"/> + <driver_node type="OPIN" side="right" index="1"/> + <driver_node type="CHANY" side="bottom" index="0"/> + <driver_node type="CHANY" side="bottom" index="6"/> + <driver_node type="CHANY" side="bottom" index="8"/> + <driver_node type="CHANY" side="bottom" index="16"/> + <driver_node type="CHANX" side="left" index="2"/> + <driver_node type="CHANX" side="left" index="10"/> + </CHANX> + <CHANX side="right" index="10" mux_size="0"> + <driver_node type="CHANX" side="left" index="8"/> + </CHANX> + <CHANX side="right" index="12" mux_size="0"> + <driver_node type="CHANX" side="left" index="10"/> + </CHANX> + <CHANX side="right" index="14" mux_size="0"> + <driver_node type="CHANX" side="left" index="12"/> + </CHANX> + <CHANX side="right" index="16" mux_size="8"> + <driver_node type="CHANY" side="top" index="3"/> + <driver_node type="CHANY" side="top" index="11"/> + <driver_node type="CHANY" side="top" index="15"/> + <driver_node type="CHANY" side="bottom" index="4"/> + <driver_node type="CHANY" side="bottom" index="12"/> + <driver_node type="CHANY" side="bottom" index="18"/> + <driver_node type="CHANX" side="left" index="4"/> + <driver_node type="CHANX" side="left" index="12"/> + </CHANX> + <CHANX side="right" index="18" mux_size="0"> + <driver_node type="CHANX" side="left" index="16"/> + </CHANX> + <CHANY side="bottom" index="1" mux_size="10"> + <driver_node type="CHANY" side="top" index="1"/> + <driver_node type="CHANY" side="top" index="9"/> + <driver_node type="CHANY" side="top" index="17"/> + <driver_node type="CHANX" side="right" index="3"/> + <driver_node type="CHANX" side="right" index="11"/> + <driver_node type="CHANX" side="right" index="15"/> + <driver_node type="OPIN" side="bottom" index="0"/> + <driver_node type="CHANX" side="left" index="2"/> + <driver_node type="CHANX" side="left" index="10"/> + <driver_node type="CHANX" side="left" index="14"/> + </CHANY> + <CHANY side="bottom" index="3" mux_size="0"> + <driver_node type="CHANY" side="top" index="1"/> + </CHANY> + <CHANY side="bottom" index="5" mux_size="0"> + <driver_node type="CHANY" side="top" index="3"/> + </CHANY> + <CHANY side="bottom" index="7" mux_size="0"> + <driver_node type="CHANY" side="top" index="5"/> + </CHANY> + <CHANY side="bottom" index="9" mux_size="10"> + <driver_node type="CHANY" side="top" index="3"/> + <driver_node type="CHANY" side="top" index="11"/> + <driver_node type="CHANX" side="right" index="1"/> + <driver_node type="CHANX" side="right" index="7"/> + <driver_node type="CHANX" side="right" index="9"/> + <driver_node type="CHANX" side="right" index="17"/> + <driver_node type="OPIN" side="bottom" index="1"/> + <driver_node type="CHANX" side="left" index="4"/> + <driver_node type="CHANX" side="left" index="12"/> + <driver_node type="CHANX" side="left" index="18"/> + </CHANY> + <CHANY side="bottom" index="11" mux_size="0"> + <driver_node type="CHANY" side="top" index="9"/> + </CHANY> + <CHANY side="bottom" index="13" mux_size="0"> + <driver_node type="CHANY" side="top" index="11"/> + </CHANY> + <CHANY side="bottom" index="15" mux_size="0"> + <driver_node type="CHANY" side="top" index="13"/> + </CHANY> + <CHANY side="bottom" index="17" mux_size="9"> + <driver_node type="CHANY" side="top" index="5"/> + <driver_node type="CHANY" side="top" index="13"/> + <driver_node type="CHANX" side="right" index="5"/> + <driver_node type="CHANX" side="right" index="13"/> + <driver_node type="CHANX" side="right" index="19"/> + <driver_node type="CHANX" side="left" index="0"/> + <driver_node type="CHANX" side="left" index="6"/> + <driver_node type="CHANX" side="left" index="8"/> + <driver_node type="CHANX" side="left" index="16"/> + </CHANY> + <CHANY side="bottom" index="19" mux_size="0"> + <driver_node type="CHANY" side="top" index="17"/> + </CHANY> + <CHANX side="left" index="1" mux_size="11"> + <driver_node type="CHANY" side="top" index="1"/> + <driver_node type="CHANY" side="top" index="7"/> + <driver_node type="CHANY" side="top" index="9"/> + <driver_node type="CHANY" side="top" index="17"/> + <driver_node type="CHANX" side="right" index="1"/> + <driver_node type="CHANX" side="right" index="9"/> + <driver_node type="CHANX" side="right" index="17"/> + <driver_node type="CHANY" side="bottom" index="4"/> + <driver_node type="CHANY" side="bottom" index="12"/> + <driver_node type="CHANY" side="bottom" index="18"/> + <driver_node type="OPIN" side="left" index="0"/> + </CHANX> + <CHANX side="left" index="3" mux_size="0"> + <driver_node type="CHANX" side="right" index="1"/> + </CHANX> + <CHANX side="left" index="5" mux_size="0"> + <driver_node type="CHANX" side="right" index="3"/> + </CHANX> + <CHANX side="left" index="7" mux_size="0"> + <driver_node type="CHANX" side="right" index="5"/> + </CHANX> + <CHANX side="left" index="9" mux_size="10"> + <driver_node type="CHANY" side="top" index="5"/> + <driver_node type="CHANY" side="top" index="13"/> + <driver_node type="CHANY" side="top" index="19"/> + <driver_node type="CHANX" side="right" index="3"/> + <driver_node type="CHANX" side="right" index="11"/> + <driver_node type="CHANY" side="bottom" index="0"/> + <driver_node type="CHANY" side="bottom" index="6"/> + <driver_node type="CHANY" side="bottom" index="8"/> + <driver_node type="CHANY" side="bottom" index="16"/> + <driver_node type="OPIN" side="left" index="1"/> + </CHANX> + <CHANX side="left" index="11" mux_size="0"> + <driver_node type="CHANX" side="right" index="9"/> + </CHANX> + <CHANX side="left" index="13" mux_size="0"> + <driver_node type="CHANX" side="right" index="11"/> + </CHANX> + <CHANX side="left" index="15" mux_size="0"> + <driver_node type="CHANX" side="right" index="13"/> + </CHANX> + <CHANX side="left" index="17" mux_size="8"> + <driver_node type="CHANY" side="top" index="3"/> + <driver_node type="CHANY" side="top" index="11"/> + <driver_node type="CHANY" side="top" index="15"/> + <driver_node type="CHANX" side="right" index="5"/> + <driver_node type="CHANX" side="right" index="13"/> + <driver_node type="CHANY" side="bottom" index="2"/> + <driver_node type="CHANY" side="bottom" index="10"/> + <driver_node type="CHANY" side="bottom" index="14"/> + </CHANX> + <CHANX side="left" index="19" mux_size="0"> + <driver_node type="CHANX" side="right" index="17"/> + </CHANX> +</rr_sb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_3__4_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_3__4_.xml new file mode 100644 index 000000000..f8f2182a7 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_3__4_.xml @@ -0,0 +1,150 @@ +<rr_sb x="3" y="4" num_sides="4"> + <CHANX side="right" index="0" mux_size="9"> + <driver_node type="OPIN" side="right" index="0"/> + <driver_node type="OPIN" side="right" index="3"/> + <driver_node type="OPIN" side="right" index="6"/> + <driver_node type="CHANY" side="bottom" index="2"/> + <driver_node type="CHANY" side="bottom" index="8"/> + <driver_node type="CHANY" side="bottom" index="14"/> + <driver_node type="CHANX" side="left" index="0"/> + <driver_node type="CHANX" side="left" index="8"/> + <driver_node type="CHANX" side="left" index="16"/> + </CHANX> + <CHANX side="right" index="2" mux_size="0"> + <driver_node type="CHANX" side="left" index="0"/> + </CHANX> + <CHANX side="right" index="4" mux_size="0"> + <driver_node type="CHANX" side="left" index="2"/> + </CHANX> + <CHANX side="right" index="6" mux_size="0"> + <driver_node type="CHANX" side="left" index="4"/> + </CHANX> + <CHANX side="right" index="8" mux_size="9"> + <driver_node type="OPIN" side="right" index="1"/> + <driver_node type="OPIN" side="right" index="4"/> + <driver_node type="OPIN" side="right" index="7"/> + <driver_node type="CHANY" side="bottom" index="0"/> + <driver_node type="CHANY" side="bottom" index="6"/> + <driver_node type="CHANY" side="bottom" index="12"/> + <driver_node type="CHANY" side="bottom" index="18"/> + <driver_node type="CHANX" side="left" index="2"/> + <driver_node type="CHANX" side="left" index="10"/> + </CHANX> + <CHANX side="right" index="10" mux_size="0"> + <driver_node type="CHANX" side="left" index="8"/> + </CHANX> + <CHANX side="right" index="12" mux_size="0"> + <driver_node type="CHANX" side="left" index="10"/> + </CHANX> + <CHANX side="right" index="14" mux_size="0"> + <driver_node type="CHANX" side="left" index="12"/> + </CHANX> + <CHANX side="right" index="16" mux_size="8"> + <driver_node type="OPIN" side="right" index="2"/> + <driver_node type="OPIN" side="right" index="5"/> + <driver_node type="OPIN" side="right" index="8"/> + <driver_node type="CHANY" side="bottom" index="4"/> + <driver_node type="CHANY" side="bottom" index="10"/> + <driver_node type="CHANY" side="bottom" index="16"/> + <driver_node type="CHANX" side="left" index="4"/> + <driver_node type="CHANX" side="left" index="12"/> + </CHANX> + <CHANX side="right" index="18" mux_size="0"> + <driver_node type="CHANX" side="left" index="16"/> + </CHANX> + <CHANY side="bottom" index="1" mux_size="3"> + <driver_node type="OPIN" side="bottom" index="0"/> + <driver_node type="CHANX" side="left" index="2"/> + <driver_node type="CHANX" side="left" index="14"/> + </CHANY> + <CHANY side="bottom" index="3" mux_size="3"> + <driver_node type="OPIN" side="bottom" index="1"/> + <driver_node type="CHANX" side="left" index="4"/> + <driver_node type="CHANX" side="left" index="18"/> + </CHANY> + <CHANY side="bottom" index="5" mux_size="2"> + <driver_node type="CHANX" side="right" index="17"/> + <driver_node type="CHANX" side="left" index="8"/> + </CHANY> + <CHANY side="bottom" index="7" mux_size="2"> + <driver_node type="CHANX" side="right" index="13"/> + <driver_node type="CHANX" side="left" index="10"/> + </CHANY> + <CHANY side="bottom" index="9" mux_size="2"> + <driver_node type="CHANX" side="right" index="11"/> + <driver_node type="CHANX" side="left" index="12"/> + </CHANY> + <CHANY side="bottom" index="11" mux_size="2"> + <driver_node type="CHANX" side="right" index="9"/> + <driver_node type="CHANX" side="left" index="16"/> + </CHANY> + <CHANY side="bottom" index="13" mux_size="2"> + <driver_node type="CHANX" side="right" index="5"/> + <driver_node type="CHANX" side="right" index="19"/> + </CHANY> + <CHANY side="bottom" index="15" mux_size="2"> + <driver_node type="CHANX" side="right" index="3"/> + <driver_node type="CHANX" side="right" index="15"/> + </CHANY> + <CHANY side="bottom" index="17" mux_size="2"> + <driver_node type="CHANX" side="right" index="1"/> + <driver_node type="CHANX" side="right" index="7"/> + </CHANY> + <CHANY side="bottom" index="19" mux_size="2"> + <driver_node type="CHANX" side="left" index="0"/> + <driver_node type="CHANX" side="left" index="6"/> + </CHANY> + <CHANX side="left" index="1" mux_size="9"> + <driver_node type="CHANX" side="right" index="1"/> + <driver_node type="CHANX" side="right" index="9"/> + <driver_node type="CHANX" side="right" index="17"/> + <driver_node type="CHANY" side="bottom" index="4"/> + <driver_node type="CHANY" side="bottom" index="10"/> + <driver_node type="CHANY" side="bottom" index="16"/> + <driver_node type="OPIN" side="left" index="0"/> + <driver_node type="OPIN" side="left" index="3"/> + <driver_node type="OPIN" side="left" index="6"/> + </CHANX> + <CHANX side="left" index="3" mux_size="0"> + <driver_node type="CHANX" side="right" index="1"/> + </CHANX> + <CHANX side="left" index="5" mux_size="0"> + <driver_node type="CHANX" side="right" index="3"/> + </CHANX> + <CHANX side="left" index="7" mux_size="0"> + <driver_node type="CHANX" side="right" index="5"/> + </CHANX> + <CHANX side="left" index="9" mux_size="9"> + <driver_node type="CHANX" side="right" index="3"/> + <driver_node type="CHANX" side="right" index="11"/> + <driver_node type="CHANY" side="bottom" index="0"/> + <driver_node type="CHANY" side="bottom" index="6"/> + <driver_node type="CHANY" side="bottom" index="12"/> + <driver_node type="CHANY" side="bottom" index="18"/> + <driver_node type="OPIN" side="left" index="1"/> + <driver_node type="OPIN" side="left" index="4"/> + <driver_node type="OPIN" side="left" index="7"/> + </CHANX> + <CHANX side="left" index="11" mux_size="0"> + <driver_node type="CHANX" side="right" index="9"/> + </CHANX> + <CHANX side="left" index="13" mux_size="0"> + <driver_node type="CHANX" side="right" index="11"/> + </CHANX> + <CHANX side="left" index="15" mux_size="0"> + <driver_node type="CHANX" side="right" index="13"/> + </CHANX> + <CHANX side="left" index="17" mux_size="8"> + <driver_node type="CHANX" side="right" index="5"/> + <driver_node type="CHANX" side="right" index="13"/> + <driver_node type="CHANY" side="bottom" index="2"/> + <driver_node type="CHANY" side="bottom" index="8"/> + <driver_node type="CHANY" side="bottom" index="14"/> + <driver_node type="OPIN" side="left" index="2"/> + <driver_node type="OPIN" side="left" index="5"/> + <driver_node type="OPIN" side="left" index="8"/> + </CHANX> + <CHANX side="left" index="19" mux_size="0"> + <driver_node type="CHANX" side="right" index="17"/> + </CHANX> +</rr_sb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_4__0_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_4__0_.xml new file mode 100644 index 000000000..8e53ad6da --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_4__0_.xml @@ -0,0 +1,80 @@ +<rr_sb x="4" y="0" num_sides="4"> + <CHANY side="top" index="0" mux_size="2"> + <driver_node type="OPIN" side="top" index="0"/> + <driver_node type="CHANX" side="left" index="0"/> + </CHANY> + <CHANY side="top" index="2" mux_size="2"> + <driver_node type="OPIN" side="top" index="1"/> + <driver_node type="CHANX" side="left" index="18"/> + </CHANY> + <CHANY side="top" index="4" mux_size="2"> + <driver_node type="OPIN" side="top" index="2"/> + <driver_node type="CHANX" side="left" index="16"/> + </CHANY> + <CHANY side="top" index="6" mux_size="2"> + <driver_node type="OPIN" side="top" index="3"/> + <driver_node type="CHANX" side="left" index="14"/> + </CHANY> + <CHANY side="top" index="8" mux_size="2"> + <driver_node type="OPIN" side="top" index="4"/> + <driver_node type="CHANX" side="left" index="12"/> + </CHANY> + <CHANY side="top" index="10" mux_size="2"> + <driver_node type="OPIN" side="top" index="5"/> + <driver_node type="CHANX" side="left" index="10"/> + </CHANY> + <CHANY side="top" index="12" mux_size="2"> + <driver_node type="OPIN" side="top" index="6"/> + <driver_node type="CHANX" side="left" index="8"/> + </CHANY> + <CHANY side="top" index="14" mux_size="2"> + <driver_node type="OPIN" side="top" index="7"/> + <driver_node type="CHANX" side="left" index="6"/> + </CHANY> + <CHANY side="top" index="16" mux_size="2"> + <driver_node type="OPIN" side="top" index="8"/> + <driver_node type="CHANX" side="left" index="4"/> + </CHANY> + <CHANY side="top" index="18" mux_size="1"> + <driver_node type="CHANX" side="left" index="2"/> + </CHANY> + <CHANX side="left" index="1" mux_size="2"> + <driver_node type="CHANY" side="top" index="1"/> + <driver_node type="OPIN" side="left" index="0"/> + </CHANX> + <CHANX side="left" index="3" mux_size="2"> + <driver_node type="CHANY" side="top" index="19"/> + <driver_node type="OPIN" side="left" index="1"/> + </CHANX> + <CHANX side="left" index="5" mux_size="2"> + <driver_node type="CHANY" side="top" index="17"/> + <driver_node type="OPIN" side="left" index="2"/> + </CHANX> + <CHANX side="left" index="7" mux_size="2"> + <driver_node type="CHANY" side="top" index="15"/> + <driver_node type="OPIN" side="left" index="3"/> + </CHANX> + <CHANX side="left" index="9" mux_size="2"> + <driver_node type="CHANY" side="top" index="13"/> + <driver_node type="OPIN" side="left" index="4"/> + </CHANX> + <CHANX side="left" index="11" mux_size="2"> + <driver_node type="CHANY" side="top" index="11"/> + <driver_node type="OPIN" side="left" index="5"/> + </CHANX> + <CHANX side="left" index="13" mux_size="2"> + <driver_node type="CHANY" side="top" index="9"/> + <driver_node type="OPIN" side="left" index="6"/> + </CHANX> + <CHANX side="left" index="15" mux_size="2"> + <driver_node type="CHANY" side="top" index="7"/> + <driver_node type="OPIN" side="left" index="7"/> + </CHANX> + <CHANX side="left" index="17" mux_size="2"> + <driver_node type="CHANY" side="top" index="5"/> + <driver_node type="OPIN" side="left" index="8"/> + </CHANX> + <CHANX side="left" index="19" mux_size="1"> + <driver_node type="CHANY" side="top" index="3"/> + </CHANX> +</rr_sb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_4__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_4__1_.xml new file mode 100644 index 000000000..a77aad220 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_4__1_.xml @@ -0,0 +1,150 @@ +<rr_sb x="4" y="1" num_sides="4"> + <CHANY side="top" index="0" mux_size="10"> + <driver_node type="OPIN" side="top" index="0"/> + <driver_node type="OPIN" side="top" index="3"/> + <driver_node type="OPIN" side="top" index="6"/> + <driver_node type="CHANY" side="bottom" index="0"/> + <driver_node type="CHANY" side="bottom" index="8"/> + <driver_node type="CHANY" side="bottom" index="16"/> + <driver_node type="CHANX" side="left" index="0"/> + <driver_node type="CHANX" side="left" index="6"/> + <driver_node type="CHANX" side="left" index="12"/> + <driver_node type="CHANX" side="left" index="18"/> + </CHANY> + <CHANY side="top" index="2" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="0"/> + </CHANY> + <CHANY side="top" index="4" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="2"/> + </CHANY> + <CHANY side="top" index="6" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="4"/> + </CHANY> + <CHANY side="top" index="8" mux_size="8"> + <driver_node type="OPIN" side="top" index="1"/> + <driver_node type="OPIN" side="top" index="4"/> + <driver_node type="OPIN" side="top" index="7"/> + <driver_node type="CHANY" side="bottom" index="2"/> + <driver_node type="CHANY" side="bottom" index="10"/> + <driver_node type="CHANX" side="left" index="4"/> + <driver_node type="CHANX" side="left" index="10"/> + <driver_node type="CHANX" side="left" index="16"/> + </CHANY> + <CHANY side="top" index="10" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="8"/> + </CHANY> + <CHANY side="top" index="12" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="10"/> + </CHANY> + <CHANY side="top" index="14" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="12"/> + </CHANY> + <CHANY side="top" index="16" mux_size="8"> + <driver_node type="OPIN" side="top" index="2"/> + <driver_node type="OPIN" side="top" index="5"/> + <driver_node type="OPIN" side="top" index="8"/> + <driver_node type="CHANY" side="bottom" index="4"/> + <driver_node type="CHANY" side="bottom" index="12"/> + <driver_node type="CHANX" side="left" index="2"/> + <driver_node type="CHANX" side="left" index="8"/> + <driver_node type="CHANX" side="left" index="14"/> + </CHANY> + <CHANY side="top" index="18" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="16"/> + </CHANY> + <CHANY side="bottom" index="1" mux_size="9"> + <driver_node type="CHANY" side="top" index="1"/> + <driver_node type="CHANY" side="top" index="9"/> + <driver_node type="CHANY" side="top" index="17"/> + <driver_node type="OPIN" side="bottom" index="0"/> + <driver_node type="OPIN" side="bottom" index="3"/> + <driver_node type="OPIN" side="bottom" index="6"/> + <driver_node type="CHANX" side="left" index="2"/> + <driver_node type="CHANX" side="left" index="8"/> + <driver_node type="CHANX" side="left" index="14"/> + </CHANY> + <CHANY side="bottom" index="3" mux_size="0"> + <driver_node type="CHANY" side="top" index="1"/> + </CHANY> + <CHANY side="bottom" index="5" mux_size="0"> + <driver_node type="CHANY" side="top" index="3"/> + </CHANY> + <CHANY side="bottom" index="7" mux_size="0"> + <driver_node type="CHANY" side="top" index="5"/> + </CHANY> + <CHANY side="bottom" index="9" mux_size="8"> + <driver_node type="CHANY" side="top" index="3"/> + <driver_node type="CHANY" side="top" index="11"/> + <driver_node type="OPIN" side="bottom" index="1"/> + <driver_node type="OPIN" side="bottom" index="4"/> + <driver_node type="OPIN" side="bottom" index="7"/> + <driver_node type="CHANX" side="left" index="4"/> + <driver_node type="CHANX" side="left" index="10"/> + <driver_node type="CHANX" side="left" index="16"/> + </CHANY> + <CHANY side="bottom" index="11" mux_size="0"> + <driver_node type="CHANY" side="top" index="9"/> + </CHANY> + <CHANY side="bottom" index="13" mux_size="0"> + <driver_node type="CHANY" side="top" index="11"/> + </CHANY> + <CHANY side="bottom" index="15" mux_size="0"> + <driver_node type="CHANY" side="top" index="13"/> + </CHANY> + <CHANY side="bottom" index="17" mux_size="9"> + <driver_node type="CHANY" side="top" index="5"/> + <driver_node type="CHANY" side="top" index="13"/> + <driver_node type="OPIN" side="bottom" index="2"/> + <driver_node type="OPIN" side="bottom" index="5"/> + <driver_node type="OPIN" side="bottom" index="8"/> + <driver_node type="CHANX" side="left" index="0"/> + <driver_node type="CHANX" side="left" index="6"/> + <driver_node type="CHANX" side="left" index="12"/> + <driver_node type="CHANX" side="left" index="18"/> + </CHANY> + <CHANY side="bottom" index="19" mux_size="0"> + <driver_node type="CHANY" side="top" index="17"/> + </CHANY> + <CHANX side="left" index="1" mux_size="3"> + <driver_node type="CHANY" side="top" index="1"/> + <driver_node type="CHANY" side="top" index="7"/> + <driver_node type="OPIN" side="left" index="0"/> + </CHANX> + <CHANX side="left" index="3" mux_size="3"> + <driver_node type="CHANY" side="bottom" index="0"/> + <driver_node type="CHANY" side="bottom" index="6"/> + <driver_node type="OPIN" side="left" index="1"/> + </CHANX> + <CHANX side="left" index="5" mux_size="2"> + <driver_node type="CHANY" side="bottom" index="2"/> + <driver_node type="CHANY" side="bottom" index="14"/> + </CHANX> + <CHANX side="left" index="7" mux_size="2"> + <driver_node type="CHANY" side="bottom" index="4"/> + <driver_node type="CHANY" side="bottom" index="18"/> + </CHANX> + <CHANX side="left" index="9" mux_size="2"> + <driver_node type="CHANY" side="top" index="17"/> + <driver_node type="CHANY" side="bottom" index="8"/> + </CHANX> + <CHANX side="left" index="11" mux_size="2"> + <driver_node type="CHANY" side="top" index="13"/> + <driver_node type="CHANY" side="bottom" index="10"/> + </CHANX> + <CHANX side="left" index="13" mux_size="2"> + <driver_node type="CHANY" side="top" index="11"/> + <driver_node type="CHANY" side="bottom" index="12"/> + </CHANX> + <CHANX side="left" index="15" mux_size="2"> + <driver_node type="CHANY" side="top" index="9"/> + <driver_node type="CHANY" side="bottom" index="16"/> + </CHANX> + <CHANX side="left" index="17" mux_size="2"> + <driver_node type="CHANY" side="top" index="5"/> + <driver_node type="CHANY" side="top" index="19"/> + </CHANX> + <CHANX side="left" index="19" mux_size="2"> + <driver_node type="CHANY" side="top" index="3"/> + <driver_node type="CHANY" side="top" index="15"/> + </CHANX> +</rr_sb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_4__2_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_4__2_.xml new file mode 100644 index 000000000..de7342ab1 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_4__2_.xml @@ -0,0 +1,150 @@ +<rr_sb x="4" y="2" num_sides="4"> + <CHANY side="top" index="0" mux_size="10"> + <driver_node type="OPIN" side="top" index="0"/> + <driver_node type="OPIN" side="top" index="3"/> + <driver_node type="OPIN" side="top" index="6"/> + <driver_node type="CHANY" side="bottom" index="0"/> + <driver_node type="CHANY" side="bottom" index="8"/> + <driver_node type="CHANY" side="bottom" index="16"/> + <driver_node type="CHANX" side="left" index="0"/> + <driver_node type="CHANX" side="left" index="6"/> + <driver_node type="CHANX" side="left" index="12"/> + <driver_node type="CHANX" side="left" index="18"/> + </CHANY> + <CHANY side="top" index="2" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="0"/> + </CHANY> + <CHANY side="top" index="4" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="2"/> + </CHANY> + <CHANY side="top" index="6" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="4"/> + </CHANY> + <CHANY side="top" index="8" mux_size="8"> + <driver_node type="OPIN" side="top" index="1"/> + <driver_node type="OPIN" side="top" index="4"/> + <driver_node type="OPIN" side="top" index="7"/> + <driver_node type="CHANY" side="bottom" index="2"/> + <driver_node type="CHANY" side="bottom" index="10"/> + <driver_node type="CHANX" side="left" index="4"/> + <driver_node type="CHANX" side="left" index="10"/> + <driver_node type="CHANX" side="left" index="16"/> + </CHANY> + <CHANY side="top" index="10" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="8"/> + </CHANY> + <CHANY side="top" index="12" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="10"/> + </CHANY> + <CHANY side="top" index="14" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="12"/> + </CHANY> + <CHANY side="top" index="16" mux_size="8"> + <driver_node type="OPIN" side="top" index="2"/> + <driver_node type="OPIN" side="top" index="5"/> + <driver_node type="OPIN" side="top" index="8"/> + <driver_node type="CHANY" side="bottom" index="4"/> + <driver_node type="CHANY" side="bottom" index="12"/> + <driver_node type="CHANX" side="left" index="2"/> + <driver_node type="CHANX" side="left" index="8"/> + <driver_node type="CHANX" side="left" index="14"/> + </CHANY> + <CHANY side="top" index="18" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="16"/> + </CHANY> + <CHANY side="bottom" index="1" mux_size="9"> + <driver_node type="CHANY" side="top" index="1"/> + <driver_node type="CHANY" side="top" index="9"/> + <driver_node type="CHANY" side="top" index="17"/> + <driver_node type="OPIN" side="bottom" index="0"/> + <driver_node type="OPIN" side="bottom" index="3"/> + <driver_node type="OPIN" side="bottom" index="6"/> + <driver_node type="CHANX" side="left" index="2"/> + <driver_node type="CHANX" side="left" index="8"/> + <driver_node type="CHANX" side="left" index="14"/> + </CHANY> + <CHANY side="bottom" index="3" mux_size="0"> + <driver_node type="CHANY" side="top" index="1"/> + </CHANY> + <CHANY side="bottom" index="5" mux_size="0"> + <driver_node type="CHANY" side="top" index="3"/> + </CHANY> + <CHANY side="bottom" index="7" mux_size="0"> + <driver_node type="CHANY" side="top" index="5"/> + </CHANY> + <CHANY side="bottom" index="9" mux_size="8"> + <driver_node type="CHANY" side="top" index="3"/> + <driver_node type="CHANY" side="top" index="11"/> + <driver_node type="OPIN" side="bottom" index="1"/> + <driver_node type="OPIN" side="bottom" index="4"/> + <driver_node type="OPIN" side="bottom" index="7"/> + <driver_node type="CHANX" side="left" index="4"/> + <driver_node type="CHANX" side="left" index="10"/> + <driver_node type="CHANX" side="left" index="16"/> + </CHANY> + <CHANY side="bottom" index="11" mux_size="0"> + <driver_node type="CHANY" side="top" index="9"/> + </CHANY> + <CHANY side="bottom" index="13" mux_size="0"> + <driver_node type="CHANY" side="top" index="11"/> + </CHANY> + <CHANY side="bottom" index="15" mux_size="0"> + <driver_node type="CHANY" side="top" index="13"/> + </CHANY> + <CHANY side="bottom" index="17" mux_size="9"> + <driver_node type="CHANY" side="top" index="5"/> + <driver_node type="CHANY" side="top" index="13"/> + <driver_node type="OPIN" side="bottom" index="2"/> + <driver_node type="OPIN" side="bottom" index="5"/> + <driver_node type="OPIN" side="bottom" index="8"/> + <driver_node type="CHANX" side="left" index="0"/> + <driver_node type="CHANX" side="left" index="6"/> + <driver_node type="CHANX" side="left" index="12"/> + <driver_node type="CHANX" side="left" index="18"/> + </CHANY> + <CHANY side="bottom" index="19" mux_size="0"> + <driver_node type="CHANY" side="top" index="17"/> + </CHANY> + <CHANX side="left" index="1" mux_size="3"> + <driver_node type="CHANY" side="top" index="1"/> + <driver_node type="CHANY" side="top" index="7"/> + <driver_node type="OPIN" side="left" index="0"/> + </CHANX> + <CHANX side="left" index="3" mux_size="3"> + <driver_node type="CHANY" side="bottom" index="0"/> + <driver_node type="CHANY" side="bottom" index="6"/> + <driver_node type="OPIN" side="left" index="1"/> + </CHANX> + <CHANX side="left" index="5" mux_size="2"> + <driver_node type="CHANY" side="bottom" index="2"/> + <driver_node type="CHANY" side="bottom" index="14"/> + </CHANX> + <CHANX side="left" index="7" mux_size="2"> + <driver_node type="CHANY" side="bottom" index="4"/> + <driver_node type="CHANY" side="bottom" index="18"/> + </CHANX> + <CHANX side="left" index="9" mux_size="2"> + <driver_node type="CHANY" side="top" index="17"/> + <driver_node type="CHANY" side="bottom" index="8"/> + </CHANX> + <CHANX side="left" index="11" mux_size="2"> + <driver_node type="CHANY" side="top" index="13"/> + <driver_node type="CHANY" side="bottom" index="10"/> + </CHANX> + <CHANX side="left" index="13" mux_size="2"> + <driver_node type="CHANY" side="top" index="11"/> + <driver_node type="CHANY" side="bottom" index="12"/> + </CHANX> + <CHANX side="left" index="15" mux_size="2"> + <driver_node type="CHANY" side="top" index="9"/> + <driver_node type="CHANY" side="bottom" index="16"/> + </CHANX> + <CHANX side="left" index="17" mux_size="2"> + <driver_node type="CHANY" side="top" index="5"/> + <driver_node type="CHANY" side="top" index="19"/> + </CHANX> + <CHANX side="left" index="19" mux_size="2"> + <driver_node type="CHANY" side="top" index="3"/> + <driver_node type="CHANY" side="top" index="15"/> + </CHANX> +</rr_sb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_4__3_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_4__3_.xml new file mode 100644 index 000000000..d7651d584 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_4__3_.xml @@ -0,0 +1,150 @@ +<rr_sb x="4" y="3" num_sides="4"> + <CHANY side="top" index="0" mux_size="10"> + <driver_node type="OPIN" side="top" index="0"/> + <driver_node type="OPIN" side="top" index="3"/> + <driver_node type="OPIN" side="top" index="6"/> + <driver_node type="CHANY" side="bottom" index="0"/> + <driver_node type="CHANY" side="bottom" index="8"/> + <driver_node type="CHANY" side="bottom" index="16"/> + <driver_node type="CHANX" side="left" index="0"/> + <driver_node type="CHANX" side="left" index="6"/> + <driver_node type="CHANX" side="left" index="12"/> + <driver_node type="CHANX" side="left" index="18"/> + </CHANY> + <CHANY side="top" index="2" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="0"/> + </CHANY> + <CHANY side="top" index="4" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="2"/> + </CHANY> + <CHANY side="top" index="6" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="4"/> + </CHANY> + <CHANY side="top" index="8" mux_size="8"> + <driver_node type="OPIN" side="top" index="1"/> + <driver_node type="OPIN" side="top" index="4"/> + <driver_node type="OPIN" side="top" index="7"/> + <driver_node type="CHANY" side="bottom" index="2"/> + <driver_node type="CHANY" side="bottom" index="10"/> + <driver_node type="CHANX" side="left" index="4"/> + <driver_node type="CHANX" side="left" index="10"/> + <driver_node type="CHANX" side="left" index="16"/> + </CHANY> + <CHANY side="top" index="10" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="8"/> + </CHANY> + <CHANY side="top" index="12" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="10"/> + </CHANY> + <CHANY side="top" index="14" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="12"/> + </CHANY> + <CHANY side="top" index="16" mux_size="8"> + <driver_node type="OPIN" side="top" index="2"/> + <driver_node type="OPIN" side="top" index="5"/> + <driver_node type="OPIN" side="top" index="8"/> + <driver_node type="CHANY" side="bottom" index="4"/> + <driver_node type="CHANY" side="bottom" index="12"/> + <driver_node type="CHANX" side="left" index="2"/> + <driver_node type="CHANX" side="left" index="8"/> + <driver_node type="CHANX" side="left" index="14"/> + </CHANY> + <CHANY side="top" index="18" mux_size="0"> + <driver_node type="CHANY" side="bottom" index="16"/> + </CHANY> + <CHANY side="bottom" index="1" mux_size="9"> + <driver_node type="CHANY" side="top" index="1"/> + <driver_node type="CHANY" side="top" index="9"/> + <driver_node type="CHANY" side="top" index="17"/> + <driver_node type="OPIN" side="bottom" index="0"/> + <driver_node type="OPIN" side="bottom" index="3"/> + <driver_node type="OPIN" side="bottom" index="6"/> + <driver_node type="CHANX" side="left" index="2"/> + <driver_node type="CHANX" side="left" index="8"/> + <driver_node type="CHANX" side="left" index="14"/> + </CHANY> + <CHANY side="bottom" index="3" mux_size="0"> + <driver_node type="CHANY" side="top" index="1"/> + </CHANY> + <CHANY side="bottom" index="5" mux_size="0"> + <driver_node type="CHANY" side="top" index="3"/> + </CHANY> + <CHANY side="bottom" index="7" mux_size="0"> + <driver_node type="CHANY" side="top" index="5"/> + </CHANY> + <CHANY side="bottom" index="9" mux_size="8"> + <driver_node type="CHANY" side="top" index="3"/> + <driver_node type="CHANY" side="top" index="11"/> + <driver_node type="OPIN" side="bottom" index="1"/> + <driver_node type="OPIN" side="bottom" index="4"/> + <driver_node type="OPIN" side="bottom" index="7"/> + <driver_node type="CHANX" side="left" index="4"/> + <driver_node type="CHANX" side="left" index="10"/> + <driver_node type="CHANX" side="left" index="16"/> + </CHANY> + <CHANY side="bottom" index="11" mux_size="0"> + <driver_node type="CHANY" side="top" index="9"/> + </CHANY> + <CHANY side="bottom" index="13" mux_size="0"> + <driver_node type="CHANY" side="top" index="11"/> + </CHANY> + <CHANY side="bottom" index="15" mux_size="0"> + <driver_node type="CHANY" side="top" index="13"/> + </CHANY> + <CHANY side="bottom" index="17" mux_size="9"> + <driver_node type="CHANY" side="top" index="5"/> + <driver_node type="CHANY" side="top" index="13"/> + <driver_node type="OPIN" side="bottom" index="2"/> + <driver_node type="OPIN" side="bottom" index="5"/> + <driver_node type="OPIN" side="bottom" index="8"/> + <driver_node type="CHANX" side="left" index="0"/> + <driver_node type="CHANX" side="left" index="6"/> + <driver_node type="CHANX" side="left" index="12"/> + <driver_node type="CHANX" side="left" index="18"/> + </CHANY> + <CHANY side="bottom" index="19" mux_size="0"> + <driver_node type="CHANY" side="top" index="17"/> + </CHANY> + <CHANX side="left" index="1" mux_size="3"> + <driver_node type="CHANY" side="top" index="1"/> + <driver_node type="CHANY" side="top" index="7"/> + <driver_node type="OPIN" side="left" index="0"/> + </CHANX> + <CHANX side="left" index="3" mux_size="3"> + <driver_node type="CHANY" side="bottom" index="0"/> + <driver_node type="CHANY" side="bottom" index="6"/> + <driver_node type="OPIN" side="left" index="1"/> + </CHANX> + <CHANX side="left" index="5" mux_size="2"> + <driver_node type="CHANY" side="bottom" index="2"/> + <driver_node type="CHANY" side="bottom" index="14"/> + </CHANX> + <CHANX side="left" index="7" mux_size="2"> + <driver_node type="CHANY" side="bottom" index="4"/> + <driver_node type="CHANY" side="bottom" index="18"/> + </CHANX> + <CHANX side="left" index="9" mux_size="2"> + <driver_node type="CHANY" side="top" index="17"/> + <driver_node type="CHANY" side="bottom" index="8"/> + </CHANX> + <CHANX side="left" index="11" mux_size="2"> + <driver_node type="CHANY" side="top" index="13"/> + <driver_node type="CHANY" side="bottom" index="10"/> + </CHANX> + <CHANX side="left" index="13" mux_size="2"> + <driver_node type="CHANY" side="top" index="11"/> + <driver_node type="CHANY" side="bottom" index="12"/> + </CHANX> + <CHANX side="left" index="15" mux_size="2"> + <driver_node type="CHANY" side="top" index="9"/> + <driver_node type="CHANY" side="bottom" index="16"/> + </CHANX> + <CHANX side="left" index="17" mux_size="2"> + <driver_node type="CHANY" side="top" index="5"/> + <driver_node type="CHANY" side="top" index="19"/> + </CHANX> + <CHANX side="left" index="19" mux_size="2"> + <driver_node type="CHANY" side="top" index="3"/> + <driver_node type="CHANY" side="top" index="15"/> + </CHANX> +</rr_sb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_4__4_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_4__4_.xml new file mode 100644 index 000000000..19c453d6f --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/sb_4__4_.xml @@ -0,0 +1,80 @@ +<rr_sb x="4" y="4" num_sides="4"> + <CHANY side="bottom" index="1" mux_size="2"> + <driver_node type="OPIN" side="bottom" index="0"/> + <driver_node type="CHANX" side="left" index="2"/> + </CHANY> + <CHANY side="bottom" index="3" mux_size="2"> + <driver_node type="OPIN" side="bottom" index="1"/> + <driver_node type="CHANX" side="left" index="4"/> + </CHANY> + <CHANY side="bottom" index="5" mux_size="2"> + <driver_node type="OPIN" side="bottom" index="2"/> + <driver_node type="CHANX" side="left" index="6"/> + </CHANY> + <CHANY side="bottom" index="7" mux_size="2"> + <driver_node type="OPIN" side="bottom" index="3"/> + <driver_node type="CHANX" side="left" index="8"/> + </CHANY> + <CHANY side="bottom" index="9" mux_size="2"> + <driver_node type="OPIN" side="bottom" index="4"/> + <driver_node type="CHANX" side="left" index="10"/> + </CHANY> + <CHANY side="bottom" index="11" mux_size="2"> + <driver_node type="OPIN" side="bottom" index="5"/> + <driver_node type="CHANX" side="left" index="12"/> + </CHANY> + <CHANY side="bottom" index="13" mux_size="2"> + <driver_node type="OPIN" side="bottom" index="6"/> + <driver_node type="CHANX" side="left" index="14"/> + </CHANY> + <CHANY side="bottom" index="15" mux_size="2"> + <driver_node type="OPIN" side="bottom" index="7"/> + <driver_node type="CHANX" side="left" index="16"/> + </CHANY> + <CHANY side="bottom" index="17" mux_size="2"> + <driver_node type="OPIN" side="bottom" index="8"/> + <driver_node type="CHANX" side="left" index="18"/> + </CHANY> + <CHANY side="bottom" index="19" mux_size="1"> + <driver_node type="CHANX" side="left" index="0"/> + </CHANY> + <CHANX side="left" index="1" mux_size="2"> + <driver_node type="CHANY" side="bottom" index="18"/> + <driver_node type="OPIN" side="left" index="0"/> + </CHANX> + <CHANX side="left" index="3" mux_size="2"> + <driver_node type="CHANY" side="bottom" index="0"/> + <driver_node type="OPIN" side="left" index="1"/> + </CHANX> + <CHANX side="left" index="5" mux_size="2"> + <driver_node type="CHANY" side="bottom" index="2"/> + <driver_node type="OPIN" side="left" index="2"/> + </CHANX> + <CHANX side="left" index="7" mux_size="2"> + <driver_node type="CHANY" side="bottom" index="4"/> + <driver_node type="OPIN" side="left" index="3"/> + </CHANX> + <CHANX side="left" index="9" mux_size="2"> + <driver_node type="CHANY" side="bottom" index="6"/> + <driver_node type="OPIN" side="left" index="4"/> + </CHANX> + <CHANX side="left" index="11" mux_size="2"> + <driver_node type="CHANY" side="bottom" index="8"/> + <driver_node type="OPIN" side="left" index="5"/> + </CHANX> + <CHANX side="left" index="13" mux_size="2"> + <driver_node type="CHANY" side="bottom" index="10"/> + <driver_node type="OPIN" side="left" index="6"/> + </CHANX> + <CHANX side="left" index="15" mux_size="2"> + <driver_node type="CHANY" side="bottom" index="12"/> + <driver_node type="OPIN" side="left" index="7"/> + </CHANX> + <CHANX side="left" index="17" mux_size="2"> + <driver_node type="CHANY" side="bottom" index="14"/> + <driver_node type="OPIN" side="left" index="8"/> + </CHANX> + <CHANX side="left" index="19" mux_size="1"> + <driver_node type="CHANY" side="bottom" index="16"/> + </CHANX> +</rr_sb> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/grid_clb.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/grid_clb.v new file mode 100644 index 000000000..0f0ce39d2 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/grid_clb.v @@ -0,0 +1,113 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Verilog modules for physical tile: clb] +// Author: Xifan TANG +// Organization: University of Utah +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +// ----- BEGIN Grid Verilog module: grid_clb ----- +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for grid_clb ----- +module grid_clb(prog_clk, + set, + reset, + clk, + top_width_0_height_0_subtile_0__pin_I_0_, + top_width_0_height_0_subtile_0__pin_I_4_, + top_width_0_height_0_subtile_0__pin_I_8_, + right_width_0_height_0_subtile_0__pin_I_1_, + right_width_0_height_0_subtile_0__pin_I_5_, + right_width_0_height_0_subtile_0__pin_I_9_, + bottom_width_0_height_0_subtile_0__pin_I_2_, + bottom_width_0_height_0_subtile_0__pin_I_6_, + bottom_width_0_height_0_subtile_0__pin_clk_0_, + left_width_0_height_0_subtile_0__pin_I_3_, + left_width_0_height_0_subtile_0__pin_I_7_, + ccff_head, + top_width_0_height_0_subtile_0__pin_O_2_, + right_width_0_height_0_subtile_0__pin_O_3_, + bottom_width_0_height_0_subtile_0__pin_O_0_, + left_width_0_height_0_subtile_0__pin_O_1_, + ccff_tail); +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- GLOBAL PORTS ----- +input [0:0] set; +//----- GLOBAL PORTS ----- +input [0:0] reset; +//----- GLOBAL PORTS ----- +input [0:0] clk; +//----- INPUT PORTS ----- +input [0:0] top_width_0_height_0_subtile_0__pin_I_0_; +//----- INPUT PORTS ----- +input [0:0] top_width_0_height_0_subtile_0__pin_I_4_; +//----- INPUT PORTS ----- +input [0:0] top_width_0_height_0_subtile_0__pin_I_8_; +//----- INPUT PORTS ----- +input [0:0] right_width_0_height_0_subtile_0__pin_I_1_; +//----- INPUT PORTS ----- +input [0:0] right_width_0_height_0_subtile_0__pin_I_5_; +//----- INPUT PORTS ----- +input [0:0] right_width_0_height_0_subtile_0__pin_I_9_; +//----- INPUT PORTS ----- +input [0:0] bottom_width_0_height_0_subtile_0__pin_I_2_; +//----- INPUT PORTS ----- +input [0:0] bottom_width_0_height_0_subtile_0__pin_I_6_; +//----- INPUT PORTS ----- +input [0:0] bottom_width_0_height_0_subtile_0__pin_clk_0_; +//----- INPUT PORTS ----- +input [0:0] left_width_0_height_0_subtile_0__pin_I_3_; +//----- INPUT PORTS ----- +input [0:0] left_width_0_height_0_subtile_0__pin_I_7_; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:0] top_width_0_height_0_subtile_0__pin_O_2_; +//----- OUTPUT PORTS ----- +output [0:0] right_width_0_height_0_subtile_0__pin_O_3_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_width_0_height_0_subtile_0__pin_O_0_; +//----- OUTPUT PORTS ----- +output [0:0] left_width_0_height_0_subtile_0__pin_O_1_; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + logical_tile_clb_mode_clb_ logical_tile_clb_mode_clb__0 ( + .prog_clk(prog_clk), + .set(set), + .reset(reset), + .clk(clk), + .clb_I({top_width_0_height_0_subtile_0__pin_I_0_, right_width_0_height_0_subtile_0__pin_I_1_, bottom_width_0_height_0_subtile_0__pin_I_2_, left_width_0_height_0_subtile_0__pin_I_3_, top_width_0_height_0_subtile_0__pin_I_4_, right_width_0_height_0_subtile_0__pin_I_5_, bottom_width_0_height_0_subtile_0__pin_I_6_, left_width_0_height_0_subtile_0__pin_I_7_, top_width_0_height_0_subtile_0__pin_I_8_, right_width_0_height_0_subtile_0__pin_I_9_}), + .clb_clk(bottom_width_0_height_0_subtile_0__pin_clk_0_), + .ccff_head(ccff_head), + .clb_O({bottom_width_0_height_0_subtile_0__pin_O_0_, left_width_0_height_0_subtile_0__pin_O_1_, top_width_0_height_0_subtile_0__pin_O_2_, right_width_0_height_0_subtile_0__pin_O_3_}), + .ccff_tail(ccff_tail)); + +endmodule +// ----- END Verilog module for grid_clb ----- + +//----- Default net type ----- +`default_nettype none + + + +// ----- END Grid Verilog module: grid_clb ----- + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/grid_io_bottom.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/grid_io_bottom.v new file mode 100644 index 000000000..9daf025d2 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/grid_io_bottom.v @@ -0,0 +1,170 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Verilog modules for physical tile: io] +// Author: Xifan TANG +// Organization: University of Utah +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +// ----- BEGIN Grid Verilog module: grid_io_bottom ----- +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for grid_io_bottom ----- +module grid_io_bottom(prog_clk, + gfpga_pad_GPIO_PAD, + top_width_0_height_0_subtile_0__pin_outpad_0_, + top_width_0_height_0_subtile_1__pin_outpad_0_, + top_width_0_height_0_subtile_2__pin_outpad_0_, + top_width_0_height_0_subtile_3__pin_outpad_0_, + top_width_0_height_0_subtile_4__pin_outpad_0_, + top_width_0_height_0_subtile_5__pin_outpad_0_, + top_width_0_height_0_subtile_6__pin_outpad_0_, + top_width_0_height_0_subtile_7__pin_outpad_0_, + ccff_head, + top_width_0_height_0_subtile_0__pin_inpad_0_, + top_width_0_height_0_subtile_1__pin_inpad_0_, + top_width_0_height_0_subtile_2__pin_inpad_0_, + top_width_0_height_0_subtile_3__pin_inpad_0_, + top_width_0_height_0_subtile_4__pin_inpad_0_, + top_width_0_height_0_subtile_5__pin_inpad_0_, + top_width_0_height_0_subtile_6__pin_inpad_0_, + top_width_0_height_0_subtile_7__pin_inpad_0_, + ccff_tail); +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- GPIO PORTS ----- +inout [0:7] gfpga_pad_GPIO_PAD; +//----- INPUT PORTS ----- +input [0:0] top_width_0_height_0_subtile_0__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] top_width_0_height_0_subtile_1__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] top_width_0_height_0_subtile_2__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] top_width_0_height_0_subtile_3__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] top_width_0_height_0_subtile_4__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] top_width_0_height_0_subtile_5__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] top_width_0_height_0_subtile_6__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] top_width_0_height_0_subtile_7__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:0] top_width_0_height_0_subtile_0__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] top_width_0_height_0_subtile_1__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] top_width_0_height_0_subtile_2__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] top_width_0_height_0_subtile_3__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] top_width_0_height_0_subtile_4__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] top_width_0_height_0_subtile_5__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] top_width_0_height_0_subtile_6__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] top_width_0_height_0_subtile_7__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:0] logical_tile_io_mode_io__0_ccff_tail; +wire [0:0] logical_tile_io_mode_io__1_ccff_tail; +wire [0:0] logical_tile_io_mode_io__2_ccff_tail; +wire [0:0] logical_tile_io_mode_io__3_ccff_tail; +wire [0:0] logical_tile_io_mode_io__4_ccff_tail; +wire [0:0] logical_tile_io_mode_io__5_ccff_tail; +wire [0:0] logical_tile_io_mode_io__6_ccff_tail; + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + logical_tile_io_mode_io_ logical_tile_io_mode_io__0 ( + .prog_clk(prog_clk), + .gfpga_pad_GPIO_PAD(gfpga_pad_GPIO_PAD[0]), + .io_outpad(top_width_0_height_0_subtile_0__pin_outpad_0_), + .ccff_head(ccff_head), + .io_inpad(top_width_0_height_0_subtile_0__pin_inpad_0_), + .ccff_tail(logical_tile_io_mode_io__0_ccff_tail)); + + logical_tile_io_mode_io_ logical_tile_io_mode_io__1 ( + .prog_clk(prog_clk), + .gfpga_pad_GPIO_PAD(gfpga_pad_GPIO_PAD[1]), + .io_outpad(top_width_0_height_0_subtile_1__pin_outpad_0_), + .ccff_head(logical_tile_io_mode_io__0_ccff_tail), + .io_inpad(top_width_0_height_0_subtile_1__pin_inpad_0_), + .ccff_tail(logical_tile_io_mode_io__1_ccff_tail)); + + logical_tile_io_mode_io_ logical_tile_io_mode_io__2 ( + .prog_clk(prog_clk), + .gfpga_pad_GPIO_PAD(gfpga_pad_GPIO_PAD[2]), + .io_outpad(top_width_0_height_0_subtile_2__pin_outpad_0_), + .ccff_head(logical_tile_io_mode_io__1_ccff_tail), + .io_inpad(top_width_0_height_0_subtile_2__pin_inpad_0_), + .ccff_tail(logical_tile_io_mode_io__2_ccff_tail)); + + logical_tile_io_mode_io_ logical_tile_io_mode_io__3 ( + .prog_clk(prog_clk), + .gfpga_pad_GPIO_PAD(gfpga_pad_GPIO_PAD[3]), + .io_outpad(top_width_0_height_0_subtile_3__pin_outpad_0_), + .ccff_head(logical_tile_io_mode_io__2_ccff_tail), + .io_inpad(top_width_0_height_0_subtile_3__pin_inpad_0_), + .ccff_tail(logical_tile_io_mode_io__3_ccff_tail)); + + logical_tile_io_mode_io_ logical_tile_io_mode_io__4 ( + .prog_clk(prog_clk), + .gfpga_pad_GPIO_PAD(gfpga_pad_GPIO_PAD[4]), + .io_outpad(top_width_0_height_0_subtile_4__pin_outpad_0_), + .ccff_head(logical_tile_io_mode_io__3_ccff_tail), + .io_inpad(top_width_0_height_0_subtile_4__pin_inpad_0_), + .ccff_tail(logical_tile_io_mode_io__4_ccff_tail)); + + logical_tile_io_mode_io_ logical_tile_io_mode_io__5 ( + .prog_clk(prog_clk), + .gfpga_pad_GPIO_PAD(gfpga_pad_GPIO_PAD[5]), + .io_outpad(top_width_0_height_0_subtile_5__pin_outpad_0_), + .ccff_head(logical_tile_io_mode_io__4_ccff_tail), + .io_inpad(top_width_0_height_0_subtile_5__pin_inpad_0_), + .ccff_tail(logical_tile_io_mode_io__5_ccff_tail)); + + logical_tile_io_mode_io_ logical_tile_io_mode_io__6 ( + .prog_clk(prog_clk), + .gfpga_pad_GPIO_PAD(gfpga_pad_GPIO_PAD[6]), + .io_outpad(top_width_0_height_0_subtile_6__pin_outpad_0_), + .ccff_head(logical_tile_io_mode_io__5_ccff_tail), + .io_inpad(top_width_0_height_0_subtile_6__pin_inpad_0_), + .ccff_tail(logical_tile_io_mode_io__6_ccff_tail)); + + logical_tile_io_mode_io_ logical_tile_io_mode_io__7 ( + .prog_clk(prog_clk), + .gfpga_pad_GPIO_PAD(gfpga_pad_GPIO_PAD[7]), + .io_outpad(top_width_0_height_0_subtile_7__pin_outpad_0_), + .ccff_head(logical_tile_io_mode_io__6_ccff_tail), + .io_inpad(top_width_0_height_0_subtile_7__pin_inpad_0_), + .ccff_tail(ccff_tail)); + +endmodule +// ----- END Verilog module for grid_io_bottom ----- + +//----- Default net type ----- +`default_nettype none + + + +// ----- END Grid Verilog module: grid_io_bottom ----- + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/grid_io_left.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/grid_io_left.v new file mode 100644 index 000000000..b1a194968 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/grid_io_left.v @@ -0,0 +1,170 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Verilog modules for physical tile: io] +// Author: Xifan TANG +// Organization: University of Utah +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +// ----- BEGIN Grid Verilog module: grid_io_left ----- +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for grid_io_left ----- +module grid_io_left(prog_clk, + gfpga_pad_GPIO_PAD, + right_width_0_height_0_subtile_0__pin_outpad_0_, + right_width_0_height_0_subtile_1__pin_outpad_0_, + right_width_0_height_0_subtile_2__pin_outpad_0_, + right_width_0_height_0_subtile_3__pin_outpad_0_, + right_width_0_height_0_subtile_4__pin_outpad_0_, + right_width_0_height_0_subtile_5__pin_outpad_0_, + right_width_0_height_0_subtile_6__pin_outpad_0_, + right_width_0_height_0_subtile_7__pin_outpad_0_, + ccff_head, + right_width_0_height_0_subtile_0__pin_inpad_0_, + right_width_0_height_0_subtile_1__pin_inpad_0_, + right_width_0_height_0_subtile_2__pin_inpad_0_, + right_width_0_height_0_subtile_3__pin_inpad_0_, + right_width_0_height_0_subtile_4__pin_inpad_0_, + right_width_0_height_0_subtile_5__pin_inpad_0_, + right_width_0_height_0_subtile_6__pin_inpad_0_, + right_width_0_height_0_subtile_7__pin_inpad_0_, + ccff_tail); +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- GPIO PORTS ----- +inout [0:7] gfpga_pad_GPIO_PAD; +//----- INPUT PORTS ----- +input [0:0] right_width_0_height_0_subtile_0__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] right_width_0_height_0_subtile_1__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] right_width_0_height_0_subtile_2__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] right_width_0_height_0_subtile_3__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] right_width_0_height_0_subtile_4__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] right_width_0_height_0_subtile_5__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] right_width_0_height_0_subtile_6__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] right_width_0_height_0_subtile_7__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:0] right_width_0_height_0_subtile_0__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] right_width_0_height_0_subtile_1__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] right_width_0_height_0_subtile_2__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] right_width_0_height_0_subtile_3__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] right_width_0_height_0_subtile_4__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] right_width_0_height_0_subtile_5__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] right_width_0_height_0_subtile_6__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] right_width_0_height_0_subtile_7__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:0] logical_tile_io_mode_io__0_ccff_tail; +wire [0:0] logical_tile_io_mode_io__1_ccff_tail; +wire [0:0] logical_tile_io_mode_io__2_ccff_tail; +wire [0:0] logical_tile_io_mode_io__3_ccff_tail; +wire [0:0] logical_tile_io_mode_io__4_ccff_tail; +wire [0:0] logical_tile_io_mode_io__5_ccff_tail; +wire [0:0] logical_tile_io_mode_io__6_ccff_tail; + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + logical_tile_io_mode_io_ logical_tile_io_mode_io__0 ( + .prog_clk(prog_clk), + .gfpga_pad_GPIO_PAD(gfpga_pad_GPIO_PAD[0]), + .io_outpad(right_width_0_height_0_subtile_0__pin_outpad_0_), + .ccff_head(ccff_head), + .io_inpad(right_width_0_height_0_subtile_0__pin_inpad_0_), + .ccff_tail(logical_tile_io_mode_io__0_ccff_tail)); + + logical_tile_io_mode_io_ logical_tile_io_mode_io__1 ( + .prog_clk(prog_clk), + .gfpga_pad_GPIO_PAD(gfpga_pad_GPIO_PAD[1]), + .io_outpad(right_width_0_height_0_subtile_1__pin_outpad_0_), + .ccff_head(logical_tile_io_mode_io__0_ccff_tail), + .io_inpad(right_width_0_height_0_subtile_1__pin_inpad_0_), + .ccff_tail(logical_tile_io_mode_io__1_ccff_tail)); + + logical_tile_io_mode_io_ logical_tile_io_mode_io__2 ( + .prog_clk(prog_clk), + .gfpga_pad_GPIO_PAD(gfpga_pad_GPIO_PAD[2]), + .io_outpad(right_width_0_height_0_subtile_2__pin_outpad_0_), + .ccff_head(logical_tile_io_mode_io__1_ccff_tail), + .io_inpad(right_width_0_height_0_subtile_2__pin_inpad_0_), + .ccff_tail(logical_tile_io_mode_io__2_ccff_tail)); + + logical_tile_io_mode_io_ logical_tile_io_mode_io__3 ( + .prog_clk(prog_clk), + .gfpga_pad_GPIO_PAD(gfpga_pad_GPIO_PAD[3]), + .io_outpad(right_width_0_height_0_subtile_3__pin_outpad_0_), + .ccff_head(logical_tile_io_mode_io__2_ccff_tail), + .io_inpad(right_width_0_height_0_subtile_3__pin_inpad_0_), + .ccff_tail(logical_tile_io_mode_io__3_ccff_tail)); + + logical_tile_io_mode_io_ logical_tile_io_mode_io__4 ( + .prog_clk(prog_clk), + .gfpga_pad_GPIO_PAD(gfpga_pad_GPIO_PAD[4]), + .io_outpad(right_width_0_height_0_subtile_4__pin_outpad_0_), + .ccff_head(logical_tile_io_mode_io__3_ccff_tail), + .io_inpad(right_width_0_height_0_subtile_4__pin_inpad_0_), + .ccff_tail(logical_tile_io_mode_io__4_ccff_tail)); + + logical_tile_io_mode_io_ logical_tile_io_mode_io__5 ( + .prog_clk(prog_clk), + .gfpga_pad_GPIO_PAD(gfpga_pad_GPIO_PAD[5]), + .io_outpad(right_width_0_height_0_subtile_5__pin_outpad_0_), + .ccff_head(logical_tile_io_mode_io__4_ccff_tail), + .io_inpad(right_width_0_height_0_subtile_5__pin_inpad_0_), + .ccff_tail(logical_tile_io_mode_io__5_ccff_tail)); + + logical_tile_io_mode_io_ logical_tile_io_mode_io__6 ( + .prog_clk(prog_clk), + .gfpga_pad_GPIO_PAD(gfpga_pad_GPIO_PAD[6]), + .io_outpad(right_width_0_height_0_subtile_6__pin_outpad_0_), + .ccff_head(logical_tile_io_mode_io__5_ccff_tail), + .io_inpad(right_width_0_height_0_subtile_6__pin_inpad_0_), + .ccff_tail(logical_tile_io_mode_io__6_ccff_tail)); + + logical_tile_io_mode_io_ logical_tile_io_mode_io__7 ( + .prog_clk(prog_clk), + .gfpga_pad_GPIO_PAD(gfpga_pad_GPIO_PAD[7]), + .io_outpad(right_width_0_height_0_subtile_7__pin_outpad_0_), + .ccff_head(logical_tile_io_mode_io__6_ccff_tail), + .io_inpad(right_width_0_height_0_subtile_7__pin_inpad_0_), + .ccff_tail(ccff_tail)); + +endmodule +// ----- END Verilog module for grid_io_left ----- + +//----- Default net type ----- +`default_nettype none + + + +// ----- END Grid Verilog module: grid_io_left ----- + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/grid_io_right.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/grid_io_right.v new file mode 100644 index 000000000..be7faf2ee --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/grid_io_right.v @@ -0,0 +1,170 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Verilog modules for physical tile: io] +// Author: Xifan TANG +// Organization: University of Utah +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +// ----- BEGIN Grid Verilog module: grid_io_right ----- +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for grid_io_right ----- +module grid_io_right(prog_clk, + gfpga_pad_GPIO_PAD, + left_width_0_height_0_subtile_0__pin_outpad_0_, + left_width_0_height_0_subtile_1__pin_outpad_0_, + left_width_0_height_0_subtile_2__pin_outpad_0_, + left_width_0_height_0_subtile_3__pin_outpad_0_, + left_width_0_height_0_subtile_4__pin_outpad_0_, + left_width_0_height_0_subtile_5__pin_outpad_0_, + left_width_0_height_0_subtile_6__pin_outpad_0_, + left_width_0_height_0_subtile_7__pin_outpad_0_, + ccff_head, + left_width_0_height_0_subtile_0__pin_inpad_0_, + left_width_0_height_0_subtile_1__pin_inpad_0_, + left_width_0_height_0_subtile_2__pin_inpad_0_, + left_width_0_height_0_subtile_3__pin_inpad_0_, + left_width_0_height_0_subtile_4__pin_inpad_0_, + left_width_0_height_0_subtile_5__pin_inpad_0_, + left_width_0_height_0_subtile_6__pin_inpad_0_, + left_width_0_height_0_subtile_7__pin_inpad_0_, + ccff_tail); +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- GPIO PORTS ----- +inout [0:7] gfpga_pad_GPIO_PAD; +//----- INPUT PORTS ----- +input [0:0] left_width_0_height_0_subtile_0__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_width_0_height_0_subtile_1__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_width_0_height_0_subtile_2__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_width_0_height_0_subtile_3__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_width_0_height_0_subtile_4__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_width_0_height_0_subtile_5__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_width_0_height_0_subtile_6__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_width_0_height_0_subtile_7__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:0] left_width_0_height_0_subtile_0__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] left_width_0_height_0_subtile_1__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] left_width_0_height_0_subtile_2__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] left_width_0_height_0_subtile_3__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] left_width_0_height_0_subtile_4__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] left_width_0_height_0_subtile_5__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] left_width_0_height_0_subtile_6__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] left_width_0_height_0_subtile_7__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:0] logical_tile_io_mode_io__0_ccff_tail; +wire [0:0] logical_tile_io_mode_io__1_ccff_tail; +wire [0:0] logical_tile_io_mode_io__2_ccff_tail; +wire [0:0] logical_tile_io_mode_io__3_ccff_tail; +wire [0:0] logical_tile_io_mode_io__4_ccff_tail; +wire [0:0] logical_tile_io_mode_io__5_ccff_tail; +wire [0:0] logical_tile_io_mode_io__6_ccff_tail; + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + logical_tile_io_mode_io_ logical_tile_io_mode_io__0 ( + .prog_clk(prog_clk), + .gfpga_pad_GPIO_PAD(gfpga_pad_GPIO_PAD[0]), + .io_outpad(left_width_0_height_0_subtile_0__pin_outpad_0_), + .ccff_head(ccff_head), + .io_inpad(left_width_0_height_0_subtile_0__pin_inpad_0_), + .ccff_tail(logical_tile_io_mode_io__0_ccff_tail)); + + logical_tile_io_mode_io_ logical_tile_io_mode_io__1 ( + .prog_clk(prog_clk), + .gfpga_pad_GPIO_PAD(gfpga_pad_GPIO_PAD[1]), + .io_outpad(left_width_0_height_0_subtile_1__pin_outpad_0_), + .ccff_head(logical_tile_io_mode_io__0_ccff_tail), + .io_inpad(left_width_0_height_0_subtile_1__pin_inpad_0_), + .ccff_tail(logical_tile_io_mode_io__1_ccff_tail)); + + logical_tile_io_mode_io_ logical_tile_io_mode_io__2 ( + .prog_clk(prog_clk), + .gfpga_pad_GPIO_PAD(gfpga_pad_GPIO_PAD[2]), + .io_outpad(left_width_0_height_0_subtile_2__pin_outpad_0_), + .ccff_head(logical_tile_io_mode_io__1_ccff_tail), + .io_inpad(left_width_0_height_0_subtile_2__pin_inpad_0_), + .ccff_tail(logical_tile_io_mode_io__2_ccff_tail)); + + logical_tile_io_mode_io_ logical_tile_io_mode_io__3 ( + .prog_clk(prog_clk), + .gfpga_pad_GPIO_PAD(gfpga_pad_GPIO_PAD[3]), + .io_outpad(left_width_0_height_0_subtile_3__pin_outpad_0_), + .ccff_head(logical_tile_io_mode_io__2_ccff_tail), + .io_inpad(left_width_0_height_0_subtile_3__pin_inpad_0_), + .ccff_tail(logical_tile_io_mode_io__3_ccff_tail)); + + logical_tile_io_mode_io_ logical_tile_io_mode_io__4 ( + .prog_clk(prog_clk), + .gfpga_pad_GPIO_PAD(gfpga_pad_GPIO_PAD[4]), + .io_outpad(left_width_0_height_0_subtile_4__pin_outpad_0_), + .ccff_head(logical_tile_io_mode_io__3_ccff_tail), + .io_inpad(left_width_0_height_0_subtile_4__pin_inpad_0_), + .ccff_tail(logical_tile_io_mode_io__4_ccff_tail)); + + logical_tile_io_mode_io_ logical_tile_io_mode_io__5 ( + .prog_clk(prog_clk), + .gfpga_pad_GPIO_PAD(gfpga_pad_GPIO_PAD[5]), + .io_outpad(left_width_0_height_0_subtile_5__pin_outpad_0_), + .ccff_head(logical_tile_io_mode_io__4_ccff_tail), + .io_inpad(left_width_0_height_0_subtile_5__pin_inpad_0_), + .ccff_tail(logical_tile_io_mode_io__5_ccff_tail)); + + logical_tile_io_mode_io_ logical_tile_io_mode_io__6 ( + .prog_clk(prog_clk), + .gfpga_pad_GPIO_PAD(gfpga_pad_GPIO_PAD[6]), + .io_outpad(left_width_0_height_0_subtile_6__pin_outpad_0_), + .ccff_head(logical_tile_io_mode_io__5_ccff_tail), + .io_inpad(left_width_0_height_0_subtile_6__pin_inpad_0_), + .ccff_tail(logical_tile_io_mode_io__6_ccff_tail)); + + logical_tile_io_mode_io_ logical_tile_io_mode_io__7 ( + .prog_clk(prog_clk), + .gfpga_pad_GPIO_PAD(gfpga_pad_GPIO_PAD[7]), + .io_outpad(left_width_0_height_0_subtile_7__pin_outpad_0_), + .ccff_head(logical_tile_io_mode_io__6_ccff_tail), + .io_inpad(left_width_0_height_0_subtile_7__pin_inpad_0_), + .ccff_tail(ccff_tail)); + +endmodule +// ----- END Verilog module for grid_io_right ----- + +//----- Default net type ----- +`default_nettype none + + + +// ----- END Grid Verilog module: grid_io_right ----- + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/grid_io_top.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/grid_io_top.v new file mode 100644 index 000000000..3d3abfb86 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/grid_io_top.v @@ -0,0 +1,170 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Verilog modules for physical tile: io] +// Author: Xifan TANG +// Organization: University of Utah +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +// ----- BEGIN Grid Verilog module: grid_io_top ----- +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for grid_io_top ----- +module grid_io_top(prog_clk, + gfpga_pad_GPIO_PAD, + bottom_width_0_height_0_subtile_0__pin_outpad_0_, + bottom_width_0_height_0_subtile_1__pin_outpad_0_, + bottom_width_0_height_0_subtile_2__pin_outpad_0_, + bottom_width_0_height_0_subtile_3__pin_outpad_0_, + bottom_width_0_height_0_subtile_4__pin_outpad_0_, + bottom_width_0_height_0_subtile_5__pin_outpad_0_, + bottom_width_0_height_0_subtile_6__pin_outpad_0_, + bottom_width_0_height_0_subtile_7__pin_outpad_0_, + ccff_head, + bottom_width_0_height_0_subtile_0__pin_inpad_0_, + bottom_width_0_height_0_subtile_1__pin_inpad_0_, + bottom_width_0_height_0_subtile_2__pin_inpad_0_, + bottom_width_0_height_0_subtile_3__pin_inpad_0_, + bottom_width_0_height_0_subtile_4__pin_inpad_0_, + bottom_width_0_height_0_subtile_5__pin_inpad_0_, + bottom_width_0_height_0_subtile_6__pin_inpad_0_, + bottom_width_0_height_0_subtile_7__pin_inpad_0_, + ccff_tail); +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- GPIO PORTS ----- +inout [0:7] gfpga_pad_GPIO_PAD; +//----- INPUT PORTS ----- +input [0:0] bottom_width_0_height_0_subtile_0__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] bottom_width_0_height_0_subtile_1__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] bottom_width_0_height_0_subtile_2__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] bottom_width_0_height_0_subtile_3__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] bottom_width_0_height_0_subtile_4__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] bottom_width_0_height_0_subtile_5__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] bottom_width_0_height_0_subtile_6__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] bottom_width_0_height_0_subtile_7__pin_outpad_0_; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:0] bottom_width_0_height_0_subtile_0__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_width_0_height_0_subtile_1__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_width_0_height_0_subtile_2__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_width_0_height_0_subtile_3__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_width_0_height_0_subtile_4__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_width_0_height_0_subtile_5__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_width_0_height_0_subtile_6__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_width_0_height_0_subtile_7__pin_inpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:0] logical_tile_io_mode_io__0_ccff_tail; +wire [0:0] logical_tile_io_mode_io__1_ccff_tail; +wire [0:0] logical_tile_io_mode_io__2_ccff_tail; +wire [0:0] logical_tile_io_mode_io__3_ccff_tail; +wire [0:0] logical_tile_io_mode_io__4_ccff_tail; +wire [0:0] logical_tile_io_mode_io__5_ccff_tail; +wire [0:0] logical_tile_io_mode_io__6_ccff_tail; + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + logical_tile_io_mode_io_ logical_tile_io_mode_io__0 ( + .prog_clk(prog_clk), + .gfpga_pad_GPIO_PAD(gfpga_pad_GPIO_PAD[0]), + .io_outpad(bottom_width_0_height_0_subtile_0__pin_outpad_0_), + .ccff_head(ccff_head), + .io_inpad(bottom_width_0_height_0_subtile_0__pin_inpad_0_), + .ccff_tail(logical_tile_io_mode_io__0_ccff_tail)); + + logical_tile_io_mode_io_ logical_tile_io_mode_io__1 ( + .prog_clk(prog_clk), + .gfpga_pad_GPIO_PAD(gfpga_pad_GPIO_PAD[1]), + .io_outpad(bottom_width_0_height_0_subtile_1__pin_outpad_0_), + .ccff_head(logical_tile_io_mode_io__0_ccff_tail), + .io_inpad(bottom_width_0_height_0_subtile_1__pin_inpad_0_), + .ccff_tail(logical_tile_io_mode_io__1_ccff_tail)); + + logical_tile_io_mode_io_ logical_tile_io_mode_io__2 ( + .prog_clk(prog_clk), + .gfpga_pad_GPIO_PAD(gfpga_pad_GPIO_PAD[2]), + .io_outpad(bottom_width_0_height_0_subtile_2__pin_outpad_0_), + .ccff_head(logical_tile_io_mode_io__1_ccff_tail), + .io_inpad(bottom_width_0_height_0_subtile_2__pin_inpad_0_), + .ccff_tail(logical_tile_io_mode_io__2_ccff_tail)); + + logical_tile_io_mode_io_ logical_tile_io_mode_io__3 ( + .prog_clk(prog_clk), + .gfpga_pad_GPIO_PAD(gfpga_pad_GPIO_PAD[3]), + .io_outpad(bottom_width_0_height_0_subtile_3__pin_outpad_0_), + .ccff_head(logical_tile_io_mode_io__2_ccff_tail), + .io_inpad(bottom_width_0_height_0_subtile_3__pin_inpad_0_), + .ccff_tail(logical_tile_io_mode_io__3_ccff_tail)); + + logical_tile_io_mode_io_ logical_tile_io_mode_io__4 ( + .prog_clk(prog_clk), + .gfpga_pad_GPIO_PAD(gfpga_pad_GPIO_PAD[4]), + .io_outpad(bottom_width_0_height_0_subtile_4__pin_outpad_0_), + .ccff_head(logical_tile_io_mode_io__3_ccff_tail), + .io_inpad(bottom_width_0_height_0_subtile_4__pin_inpad_0_), + .ccff_tail(logical_tile_io_mode_io__4_ccff_tail)); + + logical_tile_io_mode_io_ logical_tile_io_mode_io__5 ( + .prog_clk(prog_clk), + .gfpga_pad_GPIO_PAD(gfpga_pad_GPIO_PAD[5]), + .io_outpad(bottom_width_0_height_0_subtile_5__pin_outpad_0_), + .ccff_head(logical_tile_io_mode_io__4_ccff_tail), + .io_inpad(bottom_width_0_height_0_subtile_5__pin_inpad_0_), + .ccff_tail(logical_tile_io_mode_io__5_ccff_tail)); + + logical_tile_io_mode_io_ logical_tile_io_mode_io__6 ( + .prog_clk(prog_clk), + .gfpga_pad_GPIO_PAD(gfpga_pad_GPIO_PAD[6]), + .io_outpad(bottom_width_0_height_0_subtile_6__pin_outpad_0_), + .ccff_head(logical_tile_io_mode_io__5_ccff_tail), + .io_inpad(bottom_width_0_height_0_subtile_6__pin_inpad_0_), + .ccff_tail(logical_tile_io_mode_io__6_ccff_tail)); + + logical_tile_io_mode_io_ logical_tile_io_mode_io__7 ( + .prog_clk(prog_clk), + .gfpga_pad_GPIO_PAD(gfpga_pad_GPIO_PAD[7]), + .io_outpad(bottom_width_0_height_0_subtile_7__pin_outpad_0_), + .ccff_head(logical_tile_io_mode_io__6_ccff_tail), + .io_inpad(bottom_width_0_height_0_subtile_7__pin_inpad_0_), + .ccff_tail(ccff_tail)); + +endmodule +// ----- END Verilog module for grid_io_top ----- + +//----- Default net type ----- +`default_nettype none + + + +// ----- END Grid Verilog module: grid_io_top ----- + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_clb_.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_clb_.v new file mode 100644 index 000000000..14957e35e --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_clb_.v @@ -0,0 +1,427 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Verilog modules for pb_type: clb +// Author: Xifan TANG +// Organization: University of Utah +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +// ----- BEGIN Physical programmable logic block Verilog module: clb ----- +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for logical_tile_clb_mode_clb_ ----- +module logical_tile_clb_mode_clb_(prog_clk, + set, + reset, + clk, + clb_I, + clb_clk, + ccff_head, + clb_O, + ccff_tail); +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- GLOBAL PORTS ----- +input [0:0] set; +//----- GLOBAL PORTS ----- +input [0:0] reset; +//----- GLOBAL PORTS ----- +input [0:0] clk; +//----- INPUT PORTS ----- +input [0:9] clb_I; +//----- INPUT PORTS ----- +input [0:0] clb_clk; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:3] clb_O; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; + +//----- BEGIN wire-connection ports ----- +wire [0:9] clb_I; +wire [0:0] clb_clk; +wire [0:3] clb_O; +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:0] direct_interc_4_out; +wire [0:0] direct_interc_5_out; +wire [0:0] direct_interc_6_out; +wire [0:0] direct_interc_7_out; +wire [0:0] logical_tile_clb_mode_default__fle_0_ccff_tail; +wire [0:0] logical_tile_clb_mode_default__fle_0_fle_out; +wire [0:0] logical_tile_clb_mode_default__fle_1_ccff_tail; +wire [0:0] logical_tile_clb_mode_default__fle_1_fle_out; +wire [0:0] logical_tile_clb_mode_default__fle_2_ccff_tail; +wire [0:0] logical_tile_clb_mode_default__fle_2_fle_out; +wire [0:0] logical_tile_clb_mode_default__fle_3_ccff_tail; +wire [0:0] logical_tile_clb_mode_default__fle_3_fle_out; +wire [0:0] mux_tree_size14_0_out; +wire [0:3] mux_tree_size14_0_sram; +wire [0:3] mux_tree_size14_0_sram_inv; +wire [0:0] mux_tree_size14_10_out; +wire [0:3] mux_tree_size14_10_sram; +wire [0:3] mux_tree_size14_10_sram_inv; +wire [0:0] mux_tree_size14_11_out; +wire [0:3] mux_tree_size14_11_sram; +wire [0:3] mux_tree_size14_11_sram_inv; +wire [0:0] mux_tree_size14_12_out; +wire [0:3] mux_tree_size14_12_sram; +wire [0:3] mux_tree_size14_12_sram_inv; +wire [0:0] mux_tree_size14_13_out; +wire [0:3] mux_tree_size14_13_sram; +wire [0:3] mux_tree_size14_13_sram_inv; +wire [0:0] mux_tree_size14_14_out; +wire [0:3] mux_tree_size14_14_sram; +wire [0:3] mux_tree_size14_14_sram_inv; +wire [0:0] mux_tree_size14_15_out; +wire [0:3] mux_tree_size14_15_sram; +wire [0:3] mux_tree_size14_15_sram_inv; +wire [0:0] mux_tree_size14_1_out; +wire [0:3] mux_tree_size14_1_sram; +wire [0:3] mux_tree_size14_1_sram_inv; +wire [0:0] mux_tree_size14_2_out; +wire [0:3] mux_tree_size14_2_sram; +wire [0:3] mux_tree_size14_2_sram_inv; +wire [0:0] mux_tree_size14_3_out; +wire [0:3] mux_tree_size14_3_sram; +wire [0:3] mux_tree_size14_3_sram_inv; +wire [0:0] mux_tree_size14_4_out; +wire [0:3] mux_tree_size14_4_sram; +wire [0:3] mux_tree_size14_4_sram_inv; +wire [0:0] mux_tree_size14_5_out; +wire [0:3] mux_tree_size14_5_sram; +wire [0:3] mux_tree_size14_5_sram_inv; +wire [0:0] mux_tree_size14_6_out; +wire [0:3] mux_tree_size14_6_sram; +wire [0:3] mux_tree_size14_6_sram_inv; +wire [0:0] mux_tree_size14_7_out; +wire [0:3] mux_tree_size14_7_sram; +wire [0:3] mux_tree_size14_7_sram_inv; +wire [0:0] mux_tree_size14_8_out; +wire [0:3] mux_tree_size14_8_sram; +wire [0:3] mux_tree_size14_8_sram_inv; +wire [0:0] mux_tree_size14_9_out; +wire [0:3] mux_tree_size14_9_sram; +wire [0:3] mux_tree_size14_9_sram_inv; +wire [0:0] mux_tree_size14_mem_0_ccff_tail; +wire [0:0] mux_tree_size14_mem_10_ccff_tail; +wire [0:0] mux_tree_size14_mem_11_ccff_tail; +wire [0:0] mux_tree_size14_mem_12_ccff_tail; +wire [0:0] mux_tree_size14_mem_13_ccff_tail; +wire [0:0] mux_tree_size14_mem_14_ccff_tail; +wire [0:0] mux_tree_size14_mem_1_ccff_tail; +wire [0:0] mux_tree_size14_mem_2_ccff_tail; +wire [0:0] mux_tree_size14_mem_3_ccff_tail; +wire [0:0] mux_tree_size14_mem_4_ccff_tail; +wire [0:0] mux_tree_size14_mem_5_ccff_tail; +wire [0:0] mux_tree_size14_mem_6_ccff_tail; +wire [0:0] mux_tree_size14_mem_7_ccff_tail; +wire [0:0] mux_tree_size14_mem_8_ccff_tail; +wire [0:0] mux_tree_size14_mem_9_ccff_tail; + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + logical_tile_clb_mode_default__fle logical_tile_clb_mode_default__fle_0 ( + .prog_clk(prog_clk), + .set(set), + .reset(reset), + .clk(clk), + .fle_in({mux_tree_size14_0_out, mux_tree_size14_1_out, mux_tree_size14_2_out, mux_tree_size14_3_out}), + .fle_clk(direct_interc_4_out), + .ccff_head(ccff_head), + .fle_out(logical_tile_clb_mode_default__fle_0_fle_out), + .ccff_tail(logical_tile_clb_mode_default__fle_0_ccff_tail)); + + logical_tile_clb_mode_default__fle logical_tile_clb_mode_default__fle_1 ( + .prog_clk(prog_clk), + .set(set), + .reset(reset), + .clk(clk), + .fle_in({mux_tree_size14_4_out, mux_tree_size14_5_out, mux_tree_size14_6_out, mux_tree_size14_7_out}), + .fle_clk(direct_interc_5_out), + .ccff_head(logical_tile_clb_mode_default__fle_0_ccff_tail), + .fle_out(logical_tile_clb_mode_default__fle_1_fle_out), + .ccff_tail(logical_tile_clb_mode_default__fle_1_ccff_tail)); + + logical_tile_clb_mode_default__fle logical_tile_clb_mode_default__fle_2 ( + .prog_clk(prog_clk), + .set(set), + .reset(reset), + .clk(clk), + .fle_in({mux_tree_size14_8_out, mux_tree_size14_9_out, mux_tree_size14_10_out, mux_tree_size14_11_out}), + .fle_clk(direct_interc_6_out), + .ccff_head(logical_tile_clb_mode_default__fle_1_ccff_tail), + .fle_out(logical_tile_clb_mode_default__fle_2_fle_out), + .ccff_tail(logical_tile_clb_mode_default__fle_2_ccff_tail)); + + logical_tile_clb_mode_default__fle logical_tile_clb_mode_default__fle_3 ( + .prog_clk(prog_clk), + .set(set), + .reset(reset), + .clk(clk), + .fle_in({mux_tree_size14_12_out, mux_tree_size14_13_out, mux_tree_size14_14_out, mux_tree_size14_15_out}), + .fle_clk(direct_interc_7_out), + .ccff_head(logical_tile_clb_mode_default__fle_2_ccff_tail), + .fle_out(logical_tile_clb_mode_default__fle_3_fle_out), + .ccff_tail(logical_tile_clb_mode_default__fle_3_ccff_tail)); + + direct_interc direct_interc_0_ ( + .in(logical_tile_clb_mode_default__fle_0_fle_out), + .out(clb_O[0])); + + direct_interc direct_interc_1_ ( + .in(logical_tile_clb_mode_default__fle_1_fle_out), + .out(clb_O[1])); + + direct_interc direct_interc_2_ ( + .in(logical_tile_clb_mode_default__fle_2_fle_out), + .out(clb_O[2])); + + direct_interc direct_interc_3_ ( + .in(logical_tile_clb_mode_default__fle_3_fle_out), + .out(clb_O[3])); + + direct_interc direct_interc_4_ ( + .in(clb_clk), + .out(direct_interc_4_out)); + + direct_interc direct_interc_5_ ( + .in(clb_clk), + .out(direct_interc_5_out)); + + direct_interc direct_interc_6_ ( + .in(clb_clk), + .out(direct_interc_6_out)); + + direct_interc direct_interc_7_ ( + .in(clb_clk), + .out(direct_interc_7_out)); + + mux_tree_size14 mux_fle_0_in_0 ( + .in({clb_I[0:9], logical_tile_clb_mode_default__fle_0_fle_out, logical_tile_clb_mode_default__fle_1_fle_out, logical_tile_clb_mode_default__fle_2_fle_out, logical_tile_clb_mode_default__fle_3_fle_out}), + .sram(mux_tree_size14_0_sram[0:3]), + .sram_inv(mux_tree_size14_0_sram_inv[0:3]), + .out(mux_tree_size14_0_out)); + + mux_tree_size14 mux_fle_0_in_1 ( + .in({clb_I[0:9], logical_tile_clb_mode_default__fle_0_fle_out, logical_tile_clb_mode_default__fle_1_fle_out, logical_tile_clb_mode_default__fle_2_fle_out, logical_tile_clb_mode_default__fle_3_fle_out}), + .sram(mux_tree_size14_1_sram[0:3]), + .sram_inv(mux_tree_size14_1_sram_inv[0:3]), + .out(mux_tree_size14_1_out)); + + mux_tree_size14 mux_fle_0_in_2 ( + .in({clb_I[0:9], logical_tile_clb_mode_default__fle_0_fle_out, logical_tile_clb_mode_default__fle_1_fle_out, logical_tile_clb_mode_default__fle_2_fle_out, logical_tile_clb_mode_default__fle_3_fle_out}), + .sram(mux_tree_size14_2_sram[0:3]), + .sram_inv(mux_tree_size14_2_sram_inv[0:3]), + .out(mux_tree_size14_2_out)); + + mux_tree_size14 mux_fle_0_in_3 ( + .in({clb_I[0:9], logical_tile_clb_mode_default__fle_0_fle_out, logical_tile_clb_mode_default__fle_1_fle_out, logical_tile_clb_mode_default__fle_2_fle_out, logical_tile_clb_mode_default__fle_3_fle_out}), + .sram(mux_tree_size14_3_sram[0:3]), + .sram_inv(mux_tree_size14_3_sram_inv[0:3]), + .out(mux_tree_size14_3_out)); + + mux_tree_size14 mux_fle_1_in_0 ( + .in({clb_I[0:9], logical_tile_clb_mode_default__fle_0_fle_out, logical_tile_clb_mode_default__fle_1_fle_out, logical_tile_clb_mode_default__fle_2_fle_out, logical_tile_clb_mode_default__fle_3_fle_out}), + .sram(mux_tree_size14_4_sram[0:3]), + .sram_inv(mux_tree_size14_4_sram_inv[0:3]), + .out(mux_tree_size14_4_out)); + + mux_tree_size14 mux_fle_1_in_1 ( + .in({clb_I[0:9], logical_tile_clb_mode_default__fle_0_fle_out, logical_tile_clb_mode_default__fle_1_fle_out, logical_tile_clb_mode_default__fle_2_fle_out, logical_tile_clb_mode_default__fle_3_fle_out}), + .sram(mux_tree_size14_5_sram[0:3]), + .sram_inv(mux_tree_size14_5_sram_inv[0:3]), + .out(mux_tree_size14_5_out)); + + mux_tree_size14 mux_fle_1_in_2 ( + .in({clb_I[0:9], logical_tile_clb_mode_default__fle_0_fle_out, logical_tile_clb_mode_default__fle_1_fle_out, logical_tile_clb_mode_default__fle_2_fle_out, logical_tile_clb_mode_default__fle_3_fle_out}), + .sram(mux_tree_size14_6_sram[0:3]), + .sram_inv(mux_tree_size14_6_sram_inv[0:3]), + .out(mux_tree_size14_6_out)); + + mux_tree_size14 mux_fle_1_in_3 ( + .in({clb_I[0:9], logical_tile_clb_mode_default__fle_0_fle_out, logical_tile_clb_mode_default__fle_1_fle_out, logical_tile_clb_mode_default__fle_2_fle_out, logical_tile_clb_mode_default__fle_3_fle_out}), + .sram(mux_tree_size14_7_sram[0:3]), + .sram_inv(mux_tree_size14_7_sram_inv[0:3]), + .out(mux_tree_size14_7_out)); + + mux_tree_size14 mux_fle_2_in_0 ( + .in({clb_I[0:9], logical_tile_clb_mode_default__fle_0_fle_out, logical_tile_clb_mode_default__fle_1_fle_out, logical_tile_clb_mode_default__fle_2_fle_out, logical_tile_clb_mode_default__fle_3_fle_out}), + .sram(mux_tree_size14_8_sram[0:3]), + .sram_inv(mux_tree_size14_8_sram_inv[0:3]), + .out(mux_tree_size14_8_out)); + + mux_tree_size14 mux_fle_2_in_1 ( + .in({clb_I[0:9], logical_tile_clb_mode_default__fle_0_fle_out, logical_tile_clb_mode_default__fle_1_fle_out, logical_tile_clb_mode_default__fle_2_fle_out, logical_tile_clb_mode_default__fle_3_fle_out}), + .sram(mux_tree_size14_9_sram[0:3]), + .sram_inv(mux_tree_size14_9_sram_inv[0:3]), + .out(mux_tree_size14_9_out)); + + mux_tree_size14 mux_fle_2_in_2 ( + .in({clb_I[0:9], logical_tile_clb_mode_default__fle_0_fle_out, logical_tile_clb_mode_default__fle_1_fle_out, logical_tile_clb_mode_default__fle_2_fle_out, logical_tile_clb_mode_default__fle_3_fle_out}), + .sram(mux_tree_size14_10_sram[0:3]), + .sram_inv(mux_tree_size14_10_sram_inv[0:3]), + .out(mux_tree_size14_10_out)); + + mux_tree_size14 mux_fle_2_in_3 ( + .in({clb_I[0:9], logical_tile_clb_mode_default__fle_0_fle_out, logical_tile_clb_mode_default__fle_1_fle_out, logical_tile_clb_mode_default__fle_2_fle_out, logical_tile_clb_mode_default__fle_3_fle_out}), + .sram(mux_tree_size14_11_sram[0:3]), + .sram_inv(mux_tree_size14_11_sram_inv[0:3]), + .out(mux_tree_size14_11_out)); + + mux_tree_size14 mux_fle_3_in_0 ( + .in({clb_I[0:9], logical_tile_clb_mode_default__fle_0_fle_out, logical_tile_clb_mode_default__fle_1_fle_out, logical_tile_clb_mode_default__fle_2_fle_out, logical_tile_clb_mode_default__fle_3_fle_out}), + .sram(mux_tree_size14_12_sram[0:3]), + .sram_inv(mux_tree_size14_12_sram_inv[0:3]), + .out(mux_tree_size14_12_out)); + + mux_tree_size14 mux_fle_3_in_1 ( + .in({clb_I[0:9], logical_tile_clb_mode_default__fle_0_fle_out, logical_tile_clb_mode_default__fle_1_fle_out, logical_tile_clb_mode_default__fle_2_fle_out, logical_tile_clb_mode_default__fle_3_fle_out}), + .sram(mux_tree_size14_13_sram[0:3]), + .sram_inv(mux_tree_size14_13_sram_inv[0:3]), + .out(mux_tree_size14_13_out)); + + mux_tree_size14 mux_fle_3_in_2 ( + .in({clb_I[0:9], logical_tile_clb_mode_default__fle_0_fle_out, logical_tile_clb_mode_default__fle_1_fle_out, logical_tile_clb_mode_default__fle_2_fle_out, logical_tile_clb_mode_default__fle_3_fle_out}), + .sram(mux_tree_size14_14_sram[0:3]), + .sram_inv(mux_tree_size14_14_sram_inv[0:3]), + .out(mux_tree_size14_14_out)); + + mux_tree_size14 mux_fle_3_in_3 ( + .in({clb_I[0:9], logical_tile_clb_mode_default__fle_0_fle_out, logical_tile_clb_mode_default__fle_1_fle_out, logical_tile_clb_mode_default__fle_2_fle_out, logical_tile_clb_mode_default__fle_3_fle_out}), + .sram(mux_tree_size14_15_sram[0:3]), + .sram_inv(mux_tree_size14_15_sram_inv[0:3]), + .out(mux_tree_size14_15_out)); + + mux_tree_size14_mem mem_fle_0_in_0 ( + .prog_clk(prog_clk), + .ccff_head(logical_tile_clb_mode_default__fle_3_ccff_tail), + .ccff_tail(mux_tree_size14_mem_0_ccff_tail), + .mem_out(mux_tree_size14_0_sram[0:3]), + .mem_outb(mux_tree_size14_0_sram_inv[0:3])); + + mux_tree_size14_mem mem_fle_0_in_1 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_size14_mem_0_ccff_tail), + .ccff_tail(mux_tree_size14_mem_1_ccff_tail), + .mem_out(mux_tree_size14_1_sram[0:3]), + .mem_outb(mux_tree_size14_1_sram_inv[0:3])); + + mux_tree_size14_mem mem_fle_0_in_2 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_size14_mem_1_ccff_tail), + .ccff_tail(mux_tree_size14_mem_2_ccff_tail), + .mem_out(mux_tree_size14_2_sram[0:3]), + .mem_outb(mux_tree_size14_2_sram_inv[0:3])); + + mux_tree_size14_mem mem_fle_0_in_3 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_size14_mem_2_ccff_tail), + .ccff_tail(mux_tree_size14_mem_3_ccff_tail), + .mem_out(mux_tree_size14_3_sram[0:3]), + .mem_outb(mux_tree_size14_3_sram_inv[0:3])); + + mux_tree_size14_mem mem_fle_1_in_0 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_size14_mem_3_ccff_tail), + .ccff_tail(mux_tree_size14_mem_4_ccff_tail), + .mem_out(mux_tree_size14_4_sram[0:3]), + .mem_outb(mux_tree_size14_4_sram_inv[0:3])); + + mux_tree_size14_mem mem_fle_1_in_1 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_size14_mem_4_ccff_tail), + .ccff_tail(mux_tree_size14_mem_5_ccff_tail), + .mem_out(mux_tree_size14_5_sram[0:3]), + .mem_outb(mux_tree_size14_5_sram_inv[0:3])); + + mux_tree_size14_mem mem_fle_1_in_2 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_size14_mem_5_ccff_tail), + .ccff_tail(mux_tree_size14_mem_6_ccff_tail), + .mem_out(mux_tree_size14_6_sram[0:3]), + .mem_outb(mux_tree_size14_6_sram_inv[0:3])); + + mux_tree_size14_mem mem_fle_1_in_3 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_size14_mem_6_ccff_tail), + .ccff_tail(mux_tree_size14_mem_7_ccff_tail), + .mem_out(mux_tree_size14_7_sram[0:3]), + .mem_outb(mux_tree_size14_7_sram_inv[0:3])); + + mux_tree_size14_mem mem_fle_2_in_0 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_size14_mem_7_ccff_tail), + .ccff_tail(mux_tree_size14_mem_8_ccff_tail), + .mem_out(mux_tree_size14_8_sram[0:3]), + .mem_outb(mux_tree_size14_8_sram_inv[0:3])); + + mux_tree_size14_mem mem_fle_2_in_1 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_size14_mem_8_ccff_tail), + .ccff_tail(mux_tree_size14_mem_9_ccff_tail), + .mem_out(mux_tree_size14_9_sram[0:3]), + .mem_outb(mux_tree_size14_9_sram_inv[0:3])); + + mux_tree_size14_mem mem_fle_2_in_2 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_size14_mem_9_ccff_tail), + .ccff_tail(mux_tree_size14_mem_10_ccff_tail), + .mem_out(mux_tree_size14_10_sram[0:3]), + .mem_outb(mux_tree_size14_10_sram_inv[0:3])); + + mux_tree_size14_mem mem_fle_2_in_3 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_size14_mem_10_ccff_tail), + .ccff_tail(mux_tree_size14_mem_11_ccff_tail), + .mem_out(mux_tree_size14_11_sram[0:3]), + .mem_outb(mux_tree_size14_11_sram_inv[0:3])); + + mux_tree_size14_mem mem_fle_3_in_0 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_size14_mem_11_ccff_tail), + .ccff_tail(mux_tree_size14_mem_12_ccff_tail), + .mem_out(mux_tree_size14_12_sram[0:3]), + .mem_outb(mux_tree_size14_12_sram_inv[0:3])); + + mux_tree_size14_mem mem_fle_3_in_1 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_size14_mem_12_ccff_tail), + .ccff_tail(mux_tree_size14_mem_13_ccff_tail), + .mem_out(mux_tree_size14_13_sram[0:3]), + .mem_outb(mux_tree_size14_13_sram_inv[0:3])); + + mux_tree_size14_mem mem_fle_3_in_2 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_size14_mem_13_ccff_tail), + .ccff_tail(mux_tree_size14_mem_14_ccff_tail), + .mem_out(mux_tree_size14_14_sram[0:3]), + .mem_outb(mux_tree_size14_14_sram_inv[0:3])); + + mux_tree_size14_mem mem_fle_3_in_3 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_size14_mem_14_ccff_tail), + .ccff_tail(ccff_tail), + .mem_out(mux_tree_size14_15_sram[0:3]), + .mem_outb(mux_tree_size14_15_sram_inv[0:3])); + +endmodule +// ----- END Verilog module for logical_tile_clb_mode_clb_ ----- + +//----- Default net type ----- +`default_nettype none + + + +// ----- END Physical programmable logic block Verilog module: clb ----- diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle.v new file mode 100644 index 000000000..c95b46b6c --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle.v @@ -0,0 +1,109 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Verilog modules for pb_type: fle +// Author: Xifan TANG +// Organization: University of Utah +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +// ----- BEGIN Physical programmable logic block Verilog module: fle ----- +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for logical_tile_clb_mode_default__fle ----- +module logical_tile_clb_mode_default__fle(prog_clk, + set, + reset, + clk, + fle_in, + fle_clk, + ccff_head, + fle_out, + ccff_tail); +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- GLOBAL PORTS ----- +input [0:0] set; +//----- GLOBAL PORTS ----- +input [0:0] reset; +//----- GLOBAL PORTS ----- +input [0:0] clk; +//----- INPUT PORTS ----- +input [0:3] fle_in; +//----- INPUT PORTS ----- +input [0:0] fle_clk; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:0] fle_out; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; + +//----- BEGIN wire-connection ports ----- +wire [0:3] fle_in; +wire [0:0] fle_clk; +wire [0:0] fle_out; +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:0] direct_interc_1_out; +wire [0:0] direct_interc_2_out; +wire [0:0] direct_interc_3_out; +wire [0:0] direct_interc_4_out; +wire [0:0] direct_interc_5_out; +wire [0:0] logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0_ble4_out; + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4 logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0 ( + .prog_clk(prog_clk), + .set(set), + .reset(reset), + .clk(clk), + .ble4_in({direct_interc_1_out, direct_interc_2_out, direct_interc_3_out, direct_interc_4_out}), + .ble4_clk(direct_interc_5_out), + .ccff_head(ccff_head), + .ble4_out(logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0_ble4_out), + .ccff_tail(ccff_tail)); + + direct_interc direct_interc_0_ ( + .in(logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0_ble4_out), + .out(fle_out)); + + direct_interc direct_interc_1_ ( + .in(fle_in[0]), + .out(direct_interc_1_out)); + + direct_interc direct_interc_2_ ( + .in(fle_in[1]), + .out(direct_interc_2_out)); + + direct_interc direct_interc_3_ ( + .in(fle_in[2]), + .out(direct_interc_3_out)); + + direct_interc direct_interc_4_ ( + .in(fle_in[3]), + .out(direct_interc_4_out)); + + direct_interc direct_interc_5_ ( + .in(fle_clk), + .out(direct_interc_5_out)); + +endmodule +// ----- END Verilog module for logical_tile_clb_mode_default__fle ----- + +//----- Default net type ----- +`default_nettype none + + + +// ----- END Physical programmable logic block Verilog module: fle ----- diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4.v new file mode 100644 index 000000000..b6d266615 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4.v @@ -0,0 +1,131 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Verilog modules for pb_type: ble4 +// Author: Xifan TANG +// Organization: University of Utah +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +// ----- BEGIN Physical programmable logic block Verilog module: ble4 ----- +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4 ----- +module logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4(prog_clk, + set, + reset, + clk, + ble4_in, + ble4_clk, + ccff_head, + ble4_out, + ccff_tail); +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- GLOBAL PORTS ----- +input [0:0] set; +//----- GLOBAL PORTS ----- +input [0:0] reset; +//----- GLOBAL PORTS ----- +input [0:0] clk; +//----- INPUT PORTS ----- +input [0:3] ble4_in; +//----- INPUT PORTS ----- +input [0:0] ble4_clk; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:0] ble4_out; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; + +//----- BEGIN wire-connection ports ----- +wire [0:3] ble4_in; +wire [0:0] ble4_clk; +wire [0:0] ble4_out; +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:0] direct_interc_0_out; +wire [0:0] direct_interc_1_out; +wire [0:0] direct_interc_2_out; +wire [0:0] direct_interc_3_out; +wire [0:0] direct_interc_4_out; +wire [0:0] direct_interc_5_out; +wire [0:0] logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0_ff_Q; +wire [0:0] logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0_ccff_tail; +wire [0:0] logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0_lut4_out; +wire [0:1] mux_tree_tapbuf_size2_0_sram; +wire [0:1] mux_tree_tapbuf_size2_0_sram_inv; + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4 logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0 ( + .prog_clk(prog_clk), + .lut4_in({direct_interc_0_out, direct_interc_1_out, direct_interc_2_out, direct_interc_3_out}), + .ccff_head(ccff_head), + .lut4_out(logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0_lut4_out), + .ccff_tail(logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0_ccff_tail)); + + logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0 ( + .set(set), + .reset(reset), + .clk(clk), + .ff_D(direct_interc_4_out), + .ff_Q(logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0_ff_Q), + .ff_clk(direct_interc_5_out)); + + mux_tree_tapbuf_size2 mux_ble4_out_0 ( + .in({logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0_ff_Q, logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0_lut4_out}), + .sram(mux_tree_tapbuf_size2_0_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_0_sram_inv[0:1]), + .out(ble4_out)); + + mux_tree_tapbuf_size2_mem mem_ble4_out_0 ( + .prog_clk(prog_clk), + .ccff_head(logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0_ccff_tail), + .ccff_tail(ccff_tail), + .mem_out(mux_tree_tapbuf_size2_0_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_0_sram_inv[0:1])); + + direct_interc direct_interc_0_ ( + .in(ble4_in[0]), + .out(direct_interc_0_out)); + + direct_interc direct_interc_1_ ( + .in(ble4_in[1]), + .out(direct_interc_1_out)); + + direct_interc direct_interc_2_ ( + .in(ble4_in[2]), + .out(direct_interc_2_out)); + + direct_interc direct_interc_3_ ( + .in(ble4_in[3]), + .out(direct_interc_3_out)); + + direct_interc direct_interc_4_ ( + .in(logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0_lut4_out), + .out(direct_interc_4_out)); + + direct_interc direct_interc_5_ ( + .in(ble4_clk), + .out(direct_interc_5_out)); + +endmodule +// ----- END Verilog module for logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4 ----- + +//----- Default net type ----- +`default_nettype none + + + +// ----- END Physical programmable logic block Verilog module: ble4 ----- diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff.v new file mode 100644 index 000000000..35c73e6fd --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff.v @@ -0,0 +1,64 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Verilog modules for primitive pb_type: ff +// Author: Xifan TANG +// Organization: University of Utah +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff ----- +module logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff(set, + reset, + clk, + ff_D, + ff_Q, + ff_clk); +//----- GLOBAL PORTS ----- +input [0:0] set; +//----- GLOBAL PORTS ----- +input [0:0] reset; +//----- GLOBAL PORTS ----- +input [0:0] clk; +//----- INPUT PORTS ----- +input [0:0] ff_D; +//----- OUTPUT PORTS ----- +output [0:0] ff_Q; +//----- CLOCK PORTS ----- +input [0:0] ff_clk; + +//----- BEGIN wire-connection ports ----- +wire [0:0] ff_D; +wire [0:0] ff_Q; +wire [0:0] ff_clk; +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + DFFSRQ DFFSRQ_0_ ( + .SET(set), + .RST(reset), + .CK(clk), + .D(ff_D), + .Q(ff_Q)); + +endmodule +// ----- END Verilog module for logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff ----- + +//----- Default net type ----- +`default_nettype none + + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4.v new file mode 100644 index 000000000..f0991a232 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4.v @@ -0,0 +1,68 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Verilog modules for primitive pb_type: lut4 +// Author: Xifan TANG +// Organization: University of Utah +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4 ----- +module logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4(prog_clk, + lut4_in, + ccff_head, + lut4_out, + ccff_tail); +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- INPUT PORTS ----- +input [0:3] lut4_in; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:0] lut4_out; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; + +//----- BEGIN wire-connection ports ----- +wire [0:3] lut4_in; +wire [0:0] lut4_out; +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:15] lut4_0_sram; +wire [0:15] lut4_0_sram_inv; + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + lut4 lut4_0_ ( + .in(lut4_in[0:3]), + .sram(lut4_0_sram[0:15]), + .sram_inv(lut4_0_sram_inv[0:15]), + .out(lut4_out)); + + lut4_DFF_mem lut4_DFF_mem ( + .prog_clk(prog_clk), + .ccff_head(ccff_head), + .ccff_tail(ccff_tail), + .mem_out(lut4_0_sram[0:15]), + .mem_outb(lut4_0_sram_inv[0:15])); + +endmodule +// ----- END Verilog module for logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4 ----- + +//----- Default net type ----- +`default_nettype none + + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/logical_tile_io_mode_io_.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/logical_tile_io_mode_io_.v new file mode 100644 index 000000000..78f53de8e --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/logical_tile_io_mode_io_.v @@ -0,0 +1,76 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Verilog modules for pb_type: io +// Author: Xifan TANG +// Organization: University of Utah +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +// ----- BEGIN Physical programmable logic block Verilog module: io ----- +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for logical_tile_io_mode_io_ ----- +module logical_tile_io_mode_io_(prog_clk, + gfpga_pad_GPIO_PAD, + io_outpad, + ccff_head, + io_inpad, + ccff_tail); +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- GPIO PORTS ----- +inout [0:0] gfpga_pad_GPIO_PAD; +//----- INPUT PORTS ----- +input [0:0] io_outpad; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:0] io_inpad; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; + +//----- BEGIN wire-connection ports ----- +wire [0:0] io_outpad; +wire [0:0] io_inpad; +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:0] direct_interc_1_out; +wire [0:0] logical_tile_io_mode_physical__iopad_0_iopad_inpad; + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + logical_tile_io_mode_physical__iopad logical_tile_io_mode_physical__iopad_0 ( + .prog_clk(prog_clk), + .gfpga_pad_GPIO_PAD(gfpga_pad_GPIO_PAD), + .iopad_outpad(direct_interc_1_out), + .ccff_head(ccff_head), + .iopad_inpad(logical_tile_io_mode_physical__iopad_0_iopad_inpad), + .ccff_tail(ccff_tail)); + + direct_interc direct_interc_0_ ( + .in(logical_tile_io_mode_physical__iopad_0_iopad_inpad), + .out(io_inpad)); + + direct_interc direct_interc_1_ ( + .in(io_outpad), + .out(direct_interc_1_out)); + +endmodule +// ----- END Verilog module for logical_tile_io_mode_io_ ----- + +//----- Default net type ----- +`default_nettype none + + + +// ----- END Physical programmable logic block Verilog module: io ----- diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/logical_tile_io_mode_physical__iopad.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/logical_tile_io_mode_physical__iopad.v new file mode 100644 index 000000000..4969370c8 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/logical_tile_io_mode_physical__iopad.v @@ -0,0 +1,71 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Verilog modules for primitive pb_type: iopad +// Author: Xifan TANG +// Organization: University of Utah +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for logical_tile_io_mode_physical__iopad ----- +module logical_tile_io_mode_physical__iopad(prog_clk, + gfpga_pad_GPIO_PAD, + iopad_outpad, + ccff_head, + iopad_inpad, + ccff_tail); +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- GPIO PORTS ----- +inout [0:0] gfpga_pad_GPIO_PAD; +//----- INPUT PORTS ----- +input [0:0] iopad_outpad; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:0] iopad_inpad; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; + +//----- BEGIN wire-connection ports ----- +wire [0:0] iopad_outpad; +wire [0:0] iopad_inpad; +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:0] GPIO_0_DIR; +wire [0:0] GPIO_DFF_mem_undriven_mem_outb; + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + GPIO GPIO_0_ ( + .PAD(gfpga_pad_GPIO_PAD), + .A(iopad_outpad), + .DIR(GPIO_0_DIR), + .Y(iopad_inpad)); + + GPIO_DFF_mem GPIO_DFF_mem ( + .prog_clk(prog_clk), + .ccff_head(ccff_head), + .ccff_tail(ccff_tail), + .mem_out(GPIO_0_DIR), + .mem_outb(GPIO_DFF_mem_undriven_mem_outb)); + +endmodule +// ----- END Verilog module for logical_tile_io_mode_physical__iopad ----- + +//----- Default net type ----- +`default_nettype none + + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/logical_tile_clb_mode_clb_.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/logical_tile_clb_mode_clb_.sdc new file mode 100644 index 000000000..926bf0463 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/logical_tile_clb_mode_clb_.sdc @@ -0,0 +1,237 @@ +############################################# +# Synopsys Design Constraints (SDC) +# For FPGA fabric +# Description: Timing constraints for Grid logical_tile_clb_mode_clb_ in PnR +# Author: Xifan TANG +# Organization: University of Utah +############################################# + +############################################# +# Define time unit +############################################# +set_units -time s + +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[0] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[1] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[0] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[2] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[0] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[3] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[0] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[4] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[0] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[5] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[0] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[6] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[0] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[7] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[0] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[8] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[0] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[9] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[0] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[0] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[0] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[0] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[0] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[1] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[1] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[1] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[2] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[1] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[3] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[1] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[4] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[1] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[5] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[1] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[6] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[1] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[7] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[1] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[8] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[1] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[9] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[1] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[1] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[1] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[1] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[1] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[2] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[1] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[2] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[2] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[2] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[3] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[2] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[4] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[2] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[5] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[2] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[6] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[2] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[7] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[2] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[8] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[2] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[9] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[2] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[2] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[2] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[2] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[2] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[3] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[1] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[3] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[2] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[3] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[3] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[3] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[4] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[3] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[5] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[3] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[6] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[3] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[7] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[3] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[8] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[3] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[9] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[3] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[3] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[3] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[3] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_in[3] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[0] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[1] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[0] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[2] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[0] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[3] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[0] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[4] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[0] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[5] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[0] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[6] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[0] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[7] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[0] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[8] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[0] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[9] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[0] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[0] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[0] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[0] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[0] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[1] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[1] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[1] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[2] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[1] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[3] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[1] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[4] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[1] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[5] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[1] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[6] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[1] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[7] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[1] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[8] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[1] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[9] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[1] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[1] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[1] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[1] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[1] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[2] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[1] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[2] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[2] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[2] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[3] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[2] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[4] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[2] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[5] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[2] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[6] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[2] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[7] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[2] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[8] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[2] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[9] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[2] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[2] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[2] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[2] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[2] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[3] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[1] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[3] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[2] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[3] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[3] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[3] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[4] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[3] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[5] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[3] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[6] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[3] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[7] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[3] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[8] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[3] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[9] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[3] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[3] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[3] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[3] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_in[3] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[0] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[1] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[0] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[2] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[0] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[3] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[0] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[4] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[0] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[5] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[0] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[6] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[0] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[7] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[0] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[8] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[0] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[9] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[0] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[0] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[0] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[0] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[0] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[1] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[1] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[1] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[2] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[1] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[3] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[1] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[4] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[1] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[5] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[1] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[6] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[1] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[7] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[1] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[8] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[1] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[9] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[1] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[1] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[1] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[1] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[1] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[2] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[1] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[2] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[2] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[2] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[3] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[2] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[4] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[2] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[5] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[2] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[6] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[2] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[7] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[2] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[8] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[2] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[9] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[2] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[2] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[2] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[2] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[2] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[3] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[1] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[3] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[2] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[3] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[3] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[3] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[4] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[3] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[5] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[3] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[6] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[3] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[7] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[3] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[8] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[3] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[9] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[3] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[3] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[3] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[3] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_in[3] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[0] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[1] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[0] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[2] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[0] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[3] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[0] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[4] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[0] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[5] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[0] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[6] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[0] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[7] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[0] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[8] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[0] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[9] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[0] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[0] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[0] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[0] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[0] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[1] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[1] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[1] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[2] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[1] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[3] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[1] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[4] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[1] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[5] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[1] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[6] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[1] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[7] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[1] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[8] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[1] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[9] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[1] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[1] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[1] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[1] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[1] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[2] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[1] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[2] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[2] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[2] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[3] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[2] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[4] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[2] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[5] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[2] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[6] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[2] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[7] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[2] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[8] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[2] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[9] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[2] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[2] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[2] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[2] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[2] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[3] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[1] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[3] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[2] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[3] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[3] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[3] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[4] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[3] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[5] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[3] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[6] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[3] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[7] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[3] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[8] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[3] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/clb_I[9] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[3] 9.500000092e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[3] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_1/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[3] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_2/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[3] 7.499999927e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_3/fle_in[3] 7.499999927e-11 diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/logical_tile_clb_mode_default__fle.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/logical_tile_clb_mode_default__fle.sdc new file mode 100644 index 000000000..985595883 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/logical_tile_clb_mode_default__fle.sdc @@ -0,0 +1,13 @@ +############################################# +# Synopsys Design Constraints (SDC) +# For FPGA fabric +# Description: Timing constraints for Grid logical_tile_clb_mode_default__fle in PnR +# Author: Xifan TANG +# Organization: University of Utah +############################################# + +############################################# +# Define time unit +############################################# +set_units -time s + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4.sdc new file mode 100644 index 000000000..c960efbee --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4.sdc @@ -0,0 +1,15 @@ +############################################# +# Synopsys Design Constraints (SDC) +# For FPGA fabric +# Description: Timing constraints for Grid logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4 in PnR +# Author: Xifan TANG +# Organization: University of Utah +############################################# + +############################################# +# Define time unit +############################################# +set_units -time s + +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff_0/ff_Q[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/ble4_out[0] 4.500000025e-11 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/lut4_out[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/ble4_out[0] 2.500000033e-11 diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff.sdc new file mode 100644 index 000000000..c83672cad --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff.sdc @@ -0,0 +1,13 @@ +############################################# +# Synopsys Design Constraints (SDC) +# For FPGA fabric +# Description: Timing constraints for Grid logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff in PnR +# Author: Xifan TANG +# Organization: University of Utah +############################################# + +############################################# +# Define time unit +############################################# +set_units -time s + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4.sdc new file mode 100644 index 000000000..fd273c6be --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4.sdc @@ -0,0 +1,21 @@ +############################################# +# Synopsys Design Constraints (SDC) +# For FPGA fabric +# Description: Timing constraints for Grid logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4 in PnR +# Author: Xifan TANG +# Organization: University of Utah +############################################# + +############################################# +# Define time unit +############################################# +set_units -time s + +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4/lut4_in[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4/lut4_out[0] 2.609999994e-10 +set_min_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4/lut4_in[0] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4/lut4_out[0] 2.609999994e-10 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4/lut4_in[1] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4/lut4_out[0] 2.609999994e-10 +set_min_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4/lut4_in[1] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4/lut4_out[0] 2.609999994e-10 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4/lut4_in[2] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4/lut4_out[0] 2.609999994e-10 +set_min_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4/lut4_in[2] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4/lut4_out[0] 2.609999994e-10 +set_max_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4/lut4_in[3] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4/lut4_out[0] 2.609999994e-10 +set_min_delay -from fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4/lut4_in[3] -to fpga_top/grid_clb/logical_tile_clb_mode_clb__0/logical_tile_clb_mode_default__fle_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4_0/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4/lut4_out[0] 2.609999994e-10 diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/logical_tile_io_mode_io_.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/logical_tile_io_mode_io_.sdc new file mode 100644 index 000000000..891a7bfef --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/logical_tile_io_mode_io_.sdc @@ -0,0 +1,15 @@ +############################################# +# Synopsys Design Constraints (SDC) +# For FPGA fabric +# Description: Timing constraints for Grid logical_tile_io_mode_io_ in PnR +# Author: Xifan TANG +# Organization: University of Utah +############################################# + +############################################# +# Define time unit +############################################# +set_units -time s + +set_max_delay -from fpga_top/grid_io_left/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/iopad_inpad[0] -to fpga_top/grid_io_left/logical_tile_io_mode_io__0/io_inpad[0] 4.243000049e-11 +set_max_delay -from fpga_top/grid_io_left/logical_tile_io_mode_io__0/io_outpad[0] -to fpga_top/grid_io_left/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/iopad_outpad[0] 1.39400002e-11 diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/pin_mapping.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/pin_mapping.xml new file mode 100644 index 000000000..bab37585c --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/pin_mapping.xml @@ -0,0 +1,9 @@ +<!-- + - I/O mapping +--> + +<io_mapping> + <io name="gfpga_pad_GPIO_PAD[65:65]" net="a" dir="input"/> + <io name="gfpga_pad_GPIO_PAD[71:71]" net="b" dir="input"/> + <io name="gfpga_pad_GPIO_PAD[56:56]" net="c" dir="output"/> +</io_mapping> diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/cbx_1__0_.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/cbx_1__0_.v new file mode 100644 index 000000000..f342bbc04 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/cbx_1__0_.v @@ -0,0 +1,346 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Verilog modules for Unique Connection Blocks[1][0] +// Author: Xifan TANG +// Organization: University of Utah +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for cbx_1__0_ ----- +module cbx_1__0_(prog_clk, + chanx_left_in, + chanx_right_in, + ccff_head, + chanx_left_out, + chanx_right_out, + top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_, + top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_, + top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_, + bottom_grid_top_width_0_height_0_subtile_0__pin_outpad_0_, + bottom_grid_top_width_0_height_0_subtile_1__pin_outpad_0_, + bottom_grid_top_width_0_height_0_subtile_2__pin_outpad_0_, + bottom_grid_top_width_0_height_0_subtile_3__pin_outpad_0_, + bottom_grid_top_width_0_height_0_subtile_4__pin_outpad_0_, + bottom_grid_top_width_0_height_0_subtile_5__pin_outpad_0_, + bottom_grid_top_width_0_height_0_subtile_6__pin_outpad_0_, + bottom_grid_top_width_0_height_0_subtile_7__pin_outpad_0_, + ccff_tail); +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- INPUT PORTS ----- +input [0:9] chanx_left_in; +//----- INPUT PORTS ----- +input [0:9] chanx_right_in; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:9] chanx_left_out; +//----- OUTPUT PORTS ----- +output [0:9] chanx_right_out; +//----- OUTPUT PORTS ----- +output [0:0] top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_; +//----- OUTPUT PORTS ----- +output [0:0] top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_; +//----- OUTPUT PORTS ----- +output [0:0] top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_grid_top_width_0_height_0_subtile_0__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_grid_top_width_0_height_0_subtile_1__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_grid_top_width_0_height_0_subtile_2__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_grid_top_width_0_height_0_subtile_3__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_grid_top_width_0_height_0_subtile_4__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_grid_top_width_0_height_0_subtile_5__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_grid_top_width_0_height_0_subtile_6__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_grid_top_width_0_height_0_subtile_7__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:1] mux_tree_tapbuf_size2_0_sram; +wire [0:1] mux_tree_tapbuf_size2_0_sram_inv; +wire [0:0] mux_tree_tapbuf_size2_mem_0_ccff_tail; +wire [0:2] mux_tree_tapbuf_size4_0_sram; +wire [0:2] mux_tree_tapbuf_size4_0_sram_inv; +wire [0:2] mux_tree_tapbuf_size4_1_sram; +wire [0:2] mux_tree_tapbuf_size4_1_sram_inv; +wire [0:2] mux_tree_tapbuf_size4_2_sram; +wire [0:2] mux_tree_tapbuf_size4_2_sram_inv; +wire [0:2] mux_tree_tapbuf_size4_3_sram; +wire [0:2] mux_tree_tapbuf_size4_3_sram_inv; +wire [0:2] mux_tree_tapbuf_size4_4_sram; +wire [0:2] mux_tree_tapbuf_size4_4_sram_inv; +wire [0:2] mux_tree_tapbuf_size4_5_sram; +wire [0:2] mux_tree_tapbuf_size4_5_sram_inv; +wire [0:2] mux_tree_tapbuf_size4_6_sram; +wire [0:2] mux_tree_tapbuf_size4_6_sram_inv; +wire [0:2] mux_tree_tapbuf_size4_7_sram; +wire [0:2] mux_tree_tapbuf_size4_7_sram_inv; +wire [0:2] mux_tree_tapbuf_size4_8_sram; +wire [0:2] mux_tree_tapbuf_size4_8_sram_inv; +wire [0:2] mux_tree_tapbuf_size4_9_sram; +wire [0:2] mux_tree_tapbuf_size4_9_sram_inv; +wire [0:0] mux_tree_tapbuf_size4_mem_0_ccff_tail; +wire [0:0] mux_tree_tapbuf_size4_mem_1_ccff_tail; +wire [0:0] mux_tree_tapbuf_size4_mem_2_ccff_tail; +wire [0:0] mux_tree_tapbuf_size4_mem_3_ccff_tail; +wire [0:0] mux_tree_tapbuf_size4_mem_4_ccff_tail; +wire [0:0] mux_tree_tapbuf_size4_mem_5_ccff_tail; +wire [0:0] mux_tree_tapbuf_size4_mem_6_ccff_tail; +wire [0:0] mux_tree_tapbuf_size4_mem_7_ccff_tail; +wire [0:0] mux_tree_tapbuf_size4_mem_8_ccff_tail; + +// ----- BEGIN Local short connections ----- +// ----- Local connection due to Wire 0 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[0] = chanx_left_in[0]; +// ----- Local connection due to Wire 1 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[1] = chanx_left_in[1]; +// ----- Local connection due to Wire 2 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[2] = chanx_left_in[2]; +// ----- Local connection due to Wire 3 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[3] = chanx_left_in[3]; +// ----- Local connection due to Wire 4 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[4] = chanx_left_in[4]; +// ----- Local connection due to Wire 5 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[5] = chanx_left_in[5]; +// ----- Local connection due to Wire 6 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[6] = chanx_left_in[6]; +// ----- Local connection due to Wire 7 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[7] = chanx_left_in[7]; +// ----- Local connection due to Wire 8 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[8] = chanx_left_in[8]; +// ----- Local connection due to Wire 9 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[9] = chanx_left_in[9]; +// ----- Local connection due to Wire 10 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_left_out[0] = chanx_right_in[0]; +// ----- Local connection due to Wire 11 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_left_out[1] = chanx_right_in[1]; +// ----- Local connection due to Wire 12 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_left_out[2] = chanx_right_in[2]; +// ----- Local connection due to Wire 13 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_left_out[3] = chanx_right_in[3]; +// ----- Local connection due to Wire 14 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_left_out[4] = chanx_right_in[4]; +// ----- Local connection due to Wire 15 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_left_out[5] = chanx_right_in[5]; +// ----- Local connection due to Wire 16 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_left_out[6] = chanx_right_in[6]; +// ----- Local connection due to Wire 17 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_left_out[7] = chanx_right_in[7]; +// ----- Local connection due to Wire 18 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_left_out[8] = chanx_right_in[8]; +// ----- Local connection due to Wire 19 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_left_out[9] = chanx_right_in[9]; +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + mux_tree_tapbuf_size4 mux_bottom_ipin_0 ( + .in({chanx_left_in[0], chanx_right_in[0], chanx_left_in[5], chanx_right_in[5]}), + .sram(mux_tree_tapbuf_size4_0_sram[0:2]), + .sram_inv(mux_tree_tapbuf_size4_0_sram_inv[0:2]), + .out(top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_)); + + mux_tree_tapbuf_size4 mux_bottom_ipin_2 ( + .in({chanx_left_in[2], chanx_right_in[2], chanx_left_in[7], chanx_right_in[7]}), + .sram(mux_tree_tapbuf_size4_1_sram[0:2]), + .sram_inv(mux_tree_tapbuf_size4_1_sram_inv[0:2]), + .out(top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_)); + + mux_tree_tapbuf_size4 mux_top_ipin_0 ( + .in({chanx_left_in[3], chanx_right_in[3], chanx_left_in[8], chanx_right_in[8]}), + .sram(mux_tree_tapbuf_size4_2_sram[0:2]), + .sram_inv(mux_tree_tapbuf_size4_2_sram_inv[0:2]), + .out(bottom_grid_top_width_0_height_0_subtile_0__pin_outpad_0_)); + + mux_tree_tapbuf_size4 mux_top_ipin_1 ( + .in({chanx_left_in[4], chanx_right_in[4], chanx_left_in[9], chanx_right_in[9]}), + .sram(mux_tree_tapbuf_size4_3_sram[0:2]), + .sram_inv(mux_tree_tapbuf_size4_3_sram_inv[0:2]), + .out(bottom_grid_top_width_0_height_0_subtile_1__pin_outpad_0_)); + + mux_tree_tapbuf_size4 mux_top_ipin_2 ( + .in({chanx_left_in[0], chanx_right_in[0], chanx_left_in[5], chanx_right_in[5]}), + .sram(mux_tree_tapbuf_size4_4_sram[0:2]), + .sram_inv(mux_tree_tapbuf_size4_4_sram_inv[0:2]), + .out(bottom_grid_top_width_0_height_0_subtile_2__pin_outpad_0_)); + + mux_tree_tapbuf_size4 mux_top_ipin_3 ( + .in({chanx_left_in[1], chanx_right_in[1], chanx_left_in[6], chanx_right_in[6]}), + .sram(mux_tree_tapbuf_size4_5_sram[0:2]), + .sram_inv(mux_tree_tapbuf_size4_5_sram_inv[0:2]), + .out(bottom_grid_top_width_0_height_0_subtile_3__pin_outpad_0_)); + + mux_tree_tapbuf_size4 mux_top_ipin_4 ( + .in({chanx_left_in[2], chanx_right_in[2], chanx_left_in[7], chanx_right_in[7]}), + .sram(mux_tree_tapbuf_size4_6_sram[0:2]), + .sram_inv(mux_tree_tapbuf_size4_6_sram_inv[0:2]), + .out(bottom_grid_top_width_0_height_0_subtile_4__pin_outpad_0_)); + + mux_tree_tapbuf_size4 mux_top_ipin_5 ( + .in({chanx_left_in[3], chanx_right_in[3], chanx_left_in[8], chanx_right_in[8]}), + .sram(mux_tree_tapbuf_size4_7_sram[0:2]), + .sram_inv(mux_tree_tapbuf_size4_7_sram_inv[0:2]), + .out(bottom_grid_top_width_0_height_0_subtile_5__pin_outpad_0_)); + + mux_tree_tapbuf_size4 mux_top_ipin_6 ( + .in({chanx_left_in[4], chanx_right_in[4], chanx_left_in[9], chanx_right_in[9]}), + .sram(mux_tree_tapbuf_size4_8_sram[0:2]), + .sram_inv(mux_tree_tapbuf_size4_8_sram_inv[0:2]), + .out(bottom_grid_top_width_0_height_0_subtile_6__pin_outpad_0_)); + + mux_tree_tapbuf_size4 mux_top_ipin_7 ( + .in({chanx_left_in[0], chanx_right_in[0], chanx_left_in[5], chanx_right_in[5]}), + .sram(mux_tree_tapbuf_size4_9_sram[0:2]), + .sram_inv(mux_tree_tapbuf_size4_9_sram_inv[0:2]), + .out(bottom_grid_top_width_0_height_0_subtile_7__pin_outpad_0_)); + + mux_tree_tapbuf_size4_mem mem_bottom_ipin_0 ( + .prog_clk(prog_clk), + .ccff_head(ccff_head), + .ccff_tail(mux_tree_tapbuf_size4_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size4_0_sram[0:2]), + .mem_outb(mux_tree_tapbuf_size4_0_sram_inv[0:2])); + + mux_tree_tapbuf_size4_mem mem_bottom_ipin_2 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size4_mem_1_ccff_tail), + .mem_out(mux_tree_tapbuf_size4_1_sram[0:2]), + .mem_outb(mux_tree_tapbuf_size4_1_sram_inv[0:2])); + + mux_tree_tapbuf_size4_mem mem_top_ipin_0 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size4_mem_1_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size4_mem_2_ccff_tail), + .mem_out(mux_tree_tapbuf_size4_2_sram[0:2]), + .mem_outb(mux_tree_tapbuf_size4_2_sram_inv[0:2])); + + mux_tree_tapbuf_size4_mem mem_top_ipin_1 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size4_mem_2_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size4_mem_3_ccff_tail), + .mem_out(mux_tree_tapbuf_size4_3_sram[0:2]), + .mem_outb(mux_tree_tapbuf_size4_3_sram_inv[0:2])); + + mux_tree_tapbuf_size4_mem mem_top_ipin_2 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size4_mem_3_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size4_mem_4_ccff_tail), + .mem_out(mux_tree_tapbuf_size4_4_sram[0:2]), + .mem_outb(mux_tree_tapbuf_size4_4_sram_inv[0:2])); + + mux_tree_tapbuf_size4_mem mem_top_ipin_3 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size4_mem_4_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size4_mem_5_ccff_tail), + .mem_out(mux_tree_tapbuf_size4_5_sram[0:2]), + .mem_outb(mux_tree_tapbuf_size4_5_sram_inv[0:2])); + + mux_tree_tapbuf_size4_mem mem_top_ipin_4 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size4_mem_5_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size4_mem_6_ccff_tail), + .mem_out(mux_tree_tapbuf_size4_6_sram[0:2]), + .mem_outb(mux_tree_tapbuf_size4_6_sram_inv[0:2])); + + mux_tree_tapbuf_size4_mem mem_top_ipin_5 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size4_mem_6_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size4_mem_7_ccff_tail), + .mem_out(mux_tree_tapbuf_size4_7_sram[0:2]), + .mem_outb(mux_tree_tapbuf_size4_7_sram_inv[0:2])); + + mux_tree_tapbuf_size4_mem mem_top_ipin_6 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size4_mem_7_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size4_mem_8_ccff_tail), + .mem_out(mux_tree_tapbuf_size4_8_sram[0:2]), + .mem_outb(mux_tree_tapbuf_size4_8_sram_inv[0:2])); + + mux_tree_tapbuf_size4_mem mem_top_ipin_7 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size4_mem_8_ccff_tail), + .ccff_tail(ccff_tail), + .mem_out(mux_tree_tapbuf_size4_9_sram[0:2]), + .mem_outb(mux_tree_tapbuf_size4_9_sram_inv[0:2])); + + mux_tree_tapbuf_size2 mux_bottom_ipin_1 ( + .in({chanx_left_in[1], chanx_right_in[1]}), + .sram(mux_tree_tapbuf_size2_0_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_0_sram_inv[0:1]), + .out(top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_)); + + mux_tree_tapbuf_size2_mem mem_bottom_ipin_1 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size4_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_0_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_0_sram_inv[0:1])); + +endmodule +// ----- END Verilog module for cbx_1__0_ ----- + +//----- Default net type ----- +`default_nettype none + + + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/cbx_1__1_.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/cbx_1__1_.v new file mode 100644 index 000000000..87df1ef2e --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/cbx_1__1_.v @@ -0,0 +1,251 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Verilog modules for Unique Connection Blocks[1][1] +// Author: Xifan TANG +// Organization: University of Utah +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for cbx_1__1_ ----- +module cbx_1__1_(prog_clk, + chanx_left_in, + chanx_right_in, + ccff_head, + chanx_left_out, + chanx_right_out, + top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_, + top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_, + top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_, + bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_, + bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_, + bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_, + ccff_tail); +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- INPUT PORTS ----- +input [0:9] chanx_left_in; +//----- INPUT PORTS ----- +input [0:9] chanx_right_in; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:9] chanx_left_out; +//----- OUTPUT PORTS ----- +output [0:9] chanx_right_out; +//----- OUTPUT PORTS ----- +output [0:0] top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_; +//----- OUTPUT PORTS ----- +output [0:0] top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_; +//----- OUTPUT PORTS ----- +output [0:0] top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:1] mux_tree_tapbuf_size2_0_sram; +wire [0:1] mux_tree_tapbuf_size2_0_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_1_sram; +wire [0:1] mux_tree_tapbuf_size2_1_sram_inv; +wire [0:0] mux_tree_tapbuf_size2_mem_0_ccff_tail; +wire [0:2] mux_tree_tapbuf_size4_0_sram; +wire [0:2] mux_tree_tapbuf_size4_0_sram_inv; +wire [0:2] mux_tree_tapbuf_size4_1_sram; +wire [0:2] mux_tree_tapbuf_size4_1_sram_inv; +wire [0:2] mux_tree_tapbuf_size4_2_sram; +wire [0:2] mux_tree_tapbuf_size4_2_sram_inv; +wire [0:2] mux_tree_tapbuf_size4_3_sram; +wire [0:2] mux_tree_tapbuf_size4_3_sram_inv; +wire [0:0] mux_tree_tapbuf_size4_mem_0_ccff_tail; +wire [0:0] mux_tree_tapbuf_size4_mem_1_ccff_tail; +wire [0:0] mux_tree_tapbuf_size4_mem_2_ccff_tail; +wire [0:0] mux_tree_tapbuf_size4_mem_3_ccff_tail; + +// ----- BEGIN Local short connections ----- +// ----- Local connection due to Wire 0 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[0] = chanx_left_in[0]; +// ----- Local connection due to Wire 1 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[1] = chanx_left_in[1]; +// ----- Local connection due to Wire 2 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[2] = chanx_left_in[2]; +// ----- Local connection due to Wire 3 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[3] = chanx_left_in[3]; +// ----- Local connection due to Wire 4 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[4] = chanx_left_in[4]; +// ----- Local connection due to Wire 5 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[5] = chanx_left_in[5]; +// ----- Local connection due to Wire 6 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[6] = chanx_left_in[6]; +// ----- Local connection due to Wire 7 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[7] = chanx_left_in[7]; +// ----- Local connection due to Wire 8 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[8] = chanx_left_in[8]; +// ----- Local connection due to Wire 9 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[9] = chanx_left_in[9]; +// ----- Local connection due to Wire 10 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_left_out[0] = chanx_right_in[0]; +// ----- Local connection due to Wire 11 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_left_out[1] = chanx_right_in[1]; +// ----- Local connection due to Wire 12 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_left_out[2] = chanx_right_in[2]; +// ----- Local connection due to Wire 13 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_left_out[3] = chanx_right_in[3]; +// ----- Local connection due to Wire 14 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_left_out[4] = chanx_right_in[4]; +// ----- Local connection due to Wire 15 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_left_out[5] = chanx_right_in[5]; +// ----- Local connection due to Wire 16 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_left_out[6] = chanx_right_in[6]; +// ----- Local connection due to Wire 17 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_left_out[7] = chanx_right_in[7]; +// ----- Local connection due to Wire 18 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_left_out[8] = chanx_right_in[8]; +// ----- Local connection due to Wire 19 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_left_out[9] = chanx_right_in[9]; +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + mux_tree_tapbuf_size4 mux_bottom_ipin_0 ( + .in({chanx_left_in[0], chanx_right_in[0], chanx_left_in[5], chanx_right_in[5]}), + .sram(mux_tree_tapbuf_size4_0_sram[0:2]), + .sram_inv(mux_tree_tapbuf_size4_0_sram_inv[0:2]), + .out(top_grid_bottom_width_0_height_0_subtile_0__pin_I_2_)); + + mux_tree_tapbuf_size4 mux_bottom_ipin_2 ( + .in({chanx_left_in[2], chanx_right_in[2], chanx_left_in[7], chanx_right_in[7]}), + .sram(mux_tree_tapbuf_size4_1_sram[0:2]), + .sram_inv(mux_tree_tapbuf_size4_1_sram_inv[0:2]), + .out(top_grid_bottom_width_0_height_0_subtile_0__pin_clk_0_)); + + mux_tree_tapbuf_size4 mux_top_ipin_0 ( + .in({chanx_left_in[3], chanx_right_in[3], chanx_left_in[8], chanx_right_in[8]}), + .sram(mux_tree_tapbuf_size4_2_sram[0:2]), + .sram_inv(mux_tree_tapbuf_size4_2_sram_inv[0:2]), + .out(bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_)); + + mux_tree_tapbuf_size4 mux_top_ipin_1 ( + .in({chanx_left_in[4], chanx_right_in[4], chanx_left_in[9], chanx_right_in[9]}), + .sram(mux_tree_tapbuf_size4_3_sram[0:2]), + .sram_inv(mux_tree_tapbuf_size4_3_sram_inv[0:2]), + .out(bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_)); + + mux_tree_tapbuf_size4_mem mem_bottom_ipin_0 ( + .prog_clk(prog_clk), + .ccff_head(ccff_head), + .ccff_tail(mux_tree_tapbuf_size4_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size4_0_sram[0:2]), + .mem_outb(mux_tree_tapbuf_size4_0_sram_inv[0:2])); + + mux_tree_tapbuf_size4_mem mem_bottom_ipin_2 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size4_mem_1_ccff_tail), + .mem_out(mux_tree_tapbuf_size4_1_sram[0:2]), + .mem_outb(mux_tree_tapbuf_size4_1_sram_inv[0:2])); + + mux_tree_tapbuf_size4_mem mem_top_ipin_0 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size4_mem_1_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size4_mem_2_ccff_tail), + .mem_out(mux_tree_tapbuf_size4_2_sram[0:2]), + .mem_outb(mux_tree_tapbuf_size4_2_sram_inv[0:2])); + + mux_tree_tapbuf_size4_mem mem_top_ipin_1 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size4_mem_2_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size4_mem_3_ccff_tail), + .mem_out(mux_tree_tapbuf_size4_3_sram[0:2]), + .mem_outb(mux_tree_tapbuf_size4_3_sram_inv[0:2])); + + mux_tree_tapbuf_size2 mux_bottom_ipin_1 ( + .in({chanx_left_in[1], chanx_right_in[1]}), + .sram(mux_tree_tapbuf_size2_0_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_0_sram_inv[0:1]), + .out(top_grid_bottom_width_0_height_0_subtile_0__pin_I_6_)); + + mux_tree_tapbuf_size2 mux_top_ipin_2 ( + .in({chanx_left_in[5], chanx_right_in[5]}), + .sram(mux_tree_tapbuf_size2_1_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_1_sram_inv[0:1]), + .out(bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_)); + + mux_tree_tapbuf_size2_mem mem_bottom_ipin_1 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size4_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_0_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_0_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_top_ipin_2 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size4_mem_3_ccff_tail), + .ccff_tail(ccff_tail), + .mem_out(mux_tree_tapbuf_size2_1_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_1_sram_inv[0:1])); + +endmodule +// ----- END Verilog module for cbx_1__1_ ----- + +//----- Default net type ----- +`default_nettype none + + + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/cbx_1__4_.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/cbx_1__4_.v new file mode 100644 index 000000000..19d0a04b5 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/cbx_1__4_.v @@ -0,0 +1,346 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Verilog modules for Unique Connection Blocks[1][4] +// Author: Xifan TANG +// Organization: University of Utah +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for cbx_1__4_ ----- +module cbx_1__4_(prog_clk, + chanx_left_in, + chanx_right_in, + ccff_head, + chanx_left_out, + chanx_right_out, + top_grid_bottom_width_0_height_0_subtile_0__pin_outpad_0_, + top_grid_bottom_width_0_height_0_subtile_1__pin_outpad_0_, + top_grid_bottom_width_0_height_0_subtile_2__pin_outpad_0_, + top_grid_bottom_width_0_height_0_subtile_3__pin_outpad_0_, + top_grid_bottom_width_0_height_0_subtile_4__pin_outpad_0_, + top_grid_bottom_width_0_height_0_subtile_5__pin_outpad_0_, + top_grid_bottom_width_0_height_0_subtile_6__pin_outpad_0_, + top_grid_bottom_width_0_height_0_subtile_7__pin_outpad_0_, + bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_, + bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_, + bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_, + ccff_tail); +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- INPUT PORTS ----- +input [0:9] chanx_left_in; +//----- INPUT PORTS ----- +input [0:9] chanx_right_in; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:9] chanx_left_out; +//----- OUTPUT PORTS ----- +output [0:9] chanx_right_out; +//----- OUTPUT PORTS ----- +output [0:0] top_grid_bottom_width_0_height_0_subtile_0__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] top_grid_bottom_width_0_height_0_subtile_1__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] top_grid_bottom_width_0_height_0_subtile_2__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] top_grid_bottom_width_0_height_0_subtile_3__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] top_grid_bottom_width_0_height_0_subtile_4__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] top_grid_bottom_width_0_height_0_subtile_5__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] top_grid_bottom_width_0_height_0_subtile_6__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] top_grid_bottom_width_0_height_0_subtile_7__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_; +//----- OUTPUT PORTS ----- +output [0:0] bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:1] mux_tree_tapbuf_size2_0_sram; +wire [0:1] mux_tree_tapbuf_size2_0_sram_inv; +wire [0:2] mux_tree_tapbuf_size4_0_sram; +wire [0:2] mux_tree_tapbuf_size4_0_sram_inv; +wire [0:2] mux_tree_tapbuf_size4_1_sram; +wire [0:2] mux_tree_tapbuf_size4_1_sram_inv; +wire [0:2] mux_tree_tapbuf_size4_2_sram; +wire [0:2] mux_tree_tapbuf_size4_2_sram_inv; +wire [0:2] mux_tree_tapbuf_size4_3_sram; +wire [0:2] mux_tree_tapbuf_size4_3_sram_inv; +wire [0:2] mux_tree_tapbuf_size4_4_sram; +wire [0:2] mux_tree_tapbuf_size4_4_sram_inv; +wire [0:2] mux_tree_tapbuf_size4_5_sram; +wire [0:2] mux_tree_tapbuf_size4_5_sram_inv; +wire [0:2] mux_tree_tapbuf_size4_6_sram; +wire [0:2] mux_tree_tapbuf_size4_6_sram_inv; +wire [0:2] mux_tree_tapbuf_size4_7_sram; +wire [0:2] mux_tree_tapbuf_size4_7_sram_inv; +wire [0:2] mux_tree_tapbuf_size4_8_sram; +wire [0:2] mux_tree_tapbuf_size4_8_sram_inv; +wire [0:2] mux_tree_tapbuf_size4_9_sram; +wire [0:2] mux_tree_tapbuf_size4_9_sram_inv; +wire [0:0] mux_tree_tapbuf_size4_mem_0_ccff_tail; +wire [0:0] mux_tree_tapbuf_size4_mem_1_ccff_tail; +wire [0:0] mux_tree_tapbuf_size4_mem_2_ccff_tail; +wire [0:0] mux_tree_tapbuf_size4_mem_3_ccff_tail; +wire [0:0] mux_tree_tapbuf_size4_mem_4_ccff_tail; +wire [0:0] mux_tree_tapbuf_size4_mem_5_ccff_tail; +wire [0:0] mux_tree_tapbuf_size4_mem_6_ccff_tail; +wire [0:0] mux_tree_tapbuf_size4_mem_7_ccff_tail; +wire [0:0] mux_tree_tapbuf_size4_mem_8_ccff_tail; +wire [0:0] mux_tree_tapbuf_size4_mem_9_ccff_tail; + +// ----- BEGIN Local short connections ----- +// ----- Local connection due to Wire 0 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[0] = chanx_left_in[0]; +// ----- Local connection due to Wire 1 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[1] = chanx_left_in[1]; +// ----- Local connection due to Wire 2 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[2] = chanx_left_in[2]; +// ----- Local connection due to Wire 3 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[3] = chanx_left_in[3]; +// ----- Local connection due to Wire 4 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[4] = chanx_left_in[4]; +// ----- Local connection due to Wire 5 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[5] = chanx_left_in[5]; +// ----- Local connection due to Wire 6 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[6] = chanx_left_in[6]; +// ----- Local connection due to Wire 7 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[7] = chanx_left_in[7]; +// ----- Local connection due to Wire 8 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[8] = chanx_left_in[8]; +// ----- Local connection due to Wire 9 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[9] = chanx_left_in[9]; +// ----- Local connection due to Wire 10 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_left_out[0] = chanx_right_in[0]; +// ----- Local connection due to Wire 11 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_left_out[1] = chanx_right_in[1]; +// ----- Local connection due to Wire 12 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_left_out[2] = chanx_right_in[2]; +// ----- Local connection due to Wire 13 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_left_out[3] = chanx_right_in[3]; +// ----- Local connection due to Wire 14 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_left_out[4] = chanx_right_in[4]; +// ----- Local connection due to Wire 15 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_left_out[5] = chanx_right_in[5]; +// ----- Local connection due to Wire 16 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_left_out[6] = chanx_right_in[6]; +// ----- Local connection due to Wire 17 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_left_out[7] = chanx_right_in[7]; +// ----- Local connection due to Wire 18 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_left_out[8] = chanx_right_in[8]; +// ----- Local connection due to Wire 19 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_left_out[9] = chanx_right_in[9]; +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + mux_tree_tapbuf_size4 mux_bottom_ipin_0 ( + .in({chanx_left_in[0], chanx_right_in[0], chanx_left_in[5], chanx_right_in[5]}), + .sram(mux_tree_tapbuf_size4_0_sram[0:2]), + .sram_inv(mux_tree_tapbuf_size4_0_sram_inv[0:2]), + .out(top_grid_bottom_width_0_height_0_subtile_0__pin_outpad_0_)); + + mux_tree_tapbuf_size4 mux_bottom_ipin_1 ( + .in({chanx_left_in[1], chanx_right_in[1], chanx_left_in[6], chanx_right_in[6]}), + .sram(mux_tree_tapbuf_size4_1_sram[0:2]), + .sram_inv(mux_tree_tapbuf_size4_1_sram_inv[0:2]), + .out(top_grid_bottom_width_0_height_0_subtile_1__pin_outpad_0_)); + + mux_tree_tapbuf_size4 mux_bottom_ipin_2 ( + .in({chanx_left_in[2], chanx_right_in[2], chanx_left_in[7], chanx_right_in[7]}), + .sram(mux_tree_tapbuf_size4_2_sram[0:2]), + .sram_inv(mux_tree_tapbuf_size4_2_sram_inv[0:2]), + .out(top_grid_bottom_width_0_height_0_subtile_2__pin_outpad_0_)); + + mux_tree_tapbuf_size4 mux_bottom_ipin_3 ( + .in({chanx_left_in[3], chanx_right_in[3], chanx_left_in[8], chanx_right_in[8]}), + .sram(mux_tree_tapbuf_size4_3_sram[0:2]), + .sram_inv(mux_tree_tapbuf_size4_3_sram_inv[0:2]), + .out(top_grid_bottom_width_0_height_0_subtile_3__pin_outpad_0_)); + + mux_tree_tapbuf_size4 mux_bottom_ipin_4 ( + .in({chanx_left_in[4], chanx_right_in[4], chanx_left_in[9], chanx_right_in[9]}), + .sram(mux_tree_tapbuf_size4_4_sram[0:2]), + .sram_inv(mux_tree_tapbuf_size4_4_sram_inv[0:2]), + .out(top_grid_bottom_width_0_height_0_subtile_4__pin_outpad_0_)); + + mux_tree_tapbuf_size4 mux_bottom_ipin_5 ( + .in({chanx_left_in[0], chanx_right_in[0], chanx_left_in[5], chanx_right_in[5]}), + .sram(mux_tree_tapbuf_size4_5_sram[0:2]), + .sram_inv(mux_tree_tapbuf_size4_5_sram_inv[0:2]), + .out(top_grid_bottom_width_0_height_0_subtile_5__pin_outpad_0_)); + + mux_tree_tapbuf_size4 mux_bottom_ipin_6 ( + .in({chanx_left_in[1], chanx_right_in[1], chanx_left_in[6], chanx_right_in[6]}), + .sram(mux_tree_tapbuf_size4_6_sram[0:2]), + .sram_inv(mux_tree_tapbuf_size4_6_sram_inv[0:2]), + .out(top_grid_bottom_width_0_height_0_subtile_6__pin_outpad_0_)); + + mux_tree_tapbuf_size4 mux_bottom_ipin_7 ( + .in({chanx_left_in[2], chanx_right_in[2], chanx_left_in[7], chanx_right_in[7]}), + .sram(mux_tree_tapbuf_size4_7_sram[0:2]), + .sram_inv(mux_tree_tapbuf_size4_7_sram_inv[0:2]), + .out(top_grid_bottom_width_0_height_0_subtile_7__pin_outpad_0_)); + + mux_tree_tapbuf_size4 mux_top_ipin_0 ( + .in({chanx_left_in[3], chanx_right_in[3], chanx_left_in[8], chanx_right_in[8]}), + .sram(mux_tree_tapbuf_size4_8_sram[0:2]), + .sram_inv(mux_tree_tapbuf_size4_8_sram_inv[0:2]), + .out(bottom_grid_top_width_0_height_0_subtile_0__pin_I_0_)); + + mux_tree_tapbuf_size4 mux_top_ipin_1 ( + .in({chanx_left_in[4], chanx_right_in[4], chanx_left_in[9], chanx_right_in[9]}), + .sram(mux_tree_tapbuf_size4_9_sram[0:2]), + .sram_inv(mux_tree_tapbuf_size4_9_sram_inv[0:2]), + .out(bottom_grid_top_width_0_height_0_subtile_0__pin_I_4_)); + + mux_tree_tapbuf_size4_mem mem_bottom_ipin_0 ( + .prog_clk(prog_clk), + .ccff_head(ccff_head), + .ccff_tail(mux_tree_tapbuf_size4_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size4_0_sram[0:2]), + .mem_outb(mux_tree_tapbuf_size4_0_sram_inv[0:2])); + + mux_tree_tapbuf_size4_mem mem_bottom_ipin_1 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size4_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size4_mem_1_ccff_tail), + .mem_out(mux_tree_tapbuf_size4_1_sram[0:2]), + .mem_outb(mux_tree_tapbuf_size4_1_sram_inv[0:2])); + + mux_tree_tapbuf_size4_mem mem_bottom_ipin_2 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size4_mem_1_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size4_mem_2_ccff_tail), + .mem_out(mux_tree_tapbuf_size4_2_sram[0:2]), + .mem_outb(mux_tree_tapbuf_size4_2_sram_inv[0:2])); + + mux_tree_tapbuf_size4_mem mem_bottom_ipin_3 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size4_mem_2_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size4_mem_3_ccff_tail), + .mem_out(mux_tree_tapbuf_size4_3_sram[0:2]), + .mem_outb(mux_tree_tapbuf_size4_3_sram_inv[0:2])); + + mux_tree_tapbuf_size4_mem mem_bottom_ipin_4 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size4_mem_3_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size4_mem_4_ccff_tail), + .mem_out(mux_tree_tapbuf_size4_4_sram[0:2]), + .mem_outb(mux_tree_tapbuf_size4_4_sram_inv[0:2])); + + mux_tree_tapbuf_size4_mem mem_bottom_ipin_5 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size4_mem_4_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size4_mem_5_ccff_tail), + .mem_out(mux_tree_tapbuf_size4_5_sram[0:2]), + .mem_outb(mux_tree_tapbuf_size4_5_sram_inv[0:2])); + + mux_tree_tapbuf_size4_mem mem_bottom_ipin_6 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size4_mem_5_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size4_mem_6_ccff_tail), + .mem_out(mux_tree_tapbuf_size4_6_sram[0:2]), + .mem_outb(mux_tree_tapbuf_size4_6_sram_inv[0:2])); + + mux_tree_tapbuf_size4_mem mem_bottom_ipin_7 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size4_mem_6_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size4_mem_7_ccff_tail), + .mem_out(mux_tree_tapbuf_size4_7_sram[0:2]), + .mem_outb(mux_tree_tapbuf_size4_7_sram_inv[0:2])); + + mux_tree_tapbuf_size4_mem mem_top_ipin_0 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size4_mem_7_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size4_mem_8_ccff_tail), + .mem_out(mux_tree_tapbuf_size4_8_sram[0:2]), + .mem_outb(mux_tree_tapbuf_size4_8_sram_inv[0:2])); + + mux_tree_tapbuf_size4_mem mem_top_ipin_1 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size4_mem_8_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size4_mem_9_ccff_tail), + .mem_out(mux_tree_tapbuf_size4_9_sram[0:2]), + .mem_outb(mux_tree_tapbuf_size4_9_sram_inv[0:2])); + + mux_tree_tapbuf_size2 mux_top_ipin_2 ( + .in({chanx_left_in[0], chanx_right_in[0]}), + .sram(mux_tree_tapbuf_size2_0_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_0_sram_inv[0:1]), + .out(bottom_grid_top_width_0_height_0_subtile_0__pin_I_8_)); + + mux_tree_tapbuf_size2_mem mem_top_ipin_2 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size4_mem_9_ccff_tail), + .ccff_tail(ccff_tail), + .mem_out(mux_tree_tapbuf_size2_0_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_0_sram_inv[0:1])); + +endmodule +// ----- END Verilog module for cbx_1__4_ ----- + +//----- Default net type ----- +`default_nettype none + + + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/cby_0__1_.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/cby_0__1_.v new file mode 100644 index 000000000..c27c3f325 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/cby_0__1_.v @@ -0,0 +1,327 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Verilog modules for Unique Connection Blocks[0][1] +// Author: Xifan TANG +// Organization: University of Utah +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for cby_0__1_ ----- +module cby_0__1_(prog_clk, + chany_bottom_in, + chany_top_in, + ccff_head, + chany_bottom_out, + chany_top_out, + right_grid_left_width_0_height_0_subtile_0__pin_I_3_, + right_grid_left_width_0_height_0_subtile_0__pin_I_7_, + left_grid_right_width_0_height_0_subtile_0__pin_outpad_0_, + left_grid_right_width_0_height_0_subtile_1__pin_outpad_0_, + left_grid_right_width_0_height_0_subtile_2__pin_outpad_0_, + left_grid_right_width_0_height_0_subtile_3__pin_outpad_0_, + left_grid_right_width_0_height_0_subtile_4__pin_outpad_0_, + left_grid_right_width_0_height_0_subtile_5__pin_outpad_0_, + left_grid_right_width_0_height_0_subtile_6__pin_outpad_0_, + left_grid_right_width_0_height_0_subtile_7__pin_outpad_0_, + ccff_tail); +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- INPUT PORTS ----- +input [0:9] chany_bottom_in; +//----- INPUT PORTS ----- +input [0:9] chany_top_in; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:9] chany_bottom_out; +//----- OUTPUT PORTS ----- +output [0:9] chany_top_out; +//----- OUTPUT PORTS ----- +output [0:0] right_grid_left_width_0_height_0_subtile_0__pin_I_3_; +//----- OUTPUT PORTS ----- +output [0:0] right_grid_left_width_0_height_0_subtile_0__pin_I_7_; +//----- OUTPUT PORTS ----- +output [0:0] left_grid_right_width_0_height_0_subtile_0__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] left_grid_right_width_0_height_0_subtile_1__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] left_grid_right_width_0_height_0_subtile_2__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] left_grid_right_width_0_height_0_subtile_3__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] left_grid_right_width_0_height_0_subtile_4__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] left_grid_right_width_0_height_0_subtile_5__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] left_grid_right_width_0_height_0_subtile_6__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] left_grid_right_width_0_height_0_subtile_7__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:1] mux_tree_tapbuf_size2_0_sram; +wire [0:1] mux_tree_tapbuf_size2_0_sram_inv; +wire [0:0] mux_tree_tapbuf_size2_mem_0_ccff_tail; +wire [0:2] mux_tree_tapbuf_size4_0_sram; +wire [0:2] mux_tree_tapbuf_size4_0_sram_inv; +wire [0:2] mux_tree_tapbuf_size4_1_sram; +wire [0:2] mux_tree_tapbuf_size4_1_sram_inv; +wire [0:2] mux_tree_tapbuf_size4_2_sram; +wire [0:2] mux_tree_tapbuf_size4_2_sram_inv; +wire [0:2] mux_tree_tapbuf_size4_3_sram; +wire [0:2] mux_tree_tapbuf_size4_3_sram_inv; +wire [0:2] mux_tree_tapbuf_size4_4_sram; +wire [0:2] mux_tree_tapbuf_size4_4_sram_inv; +wire [0:2] mux_tree_tapbuf_size4_5_sram; +wire [0:2] mux_tree_tapbuf_size4_5_sram_inv; +wire [0:2] mux_tree_tapbuf_size4_6_sram; +wire [0:2] mux_tree_tapbuf_size4_6_sram_inv; +wire [0:2] mux_tree_tapbuf_size4_7_sram; +wire [0:2] mux_tree_tapbuf_size4_7_sram_inv; +wire [0:2] mux_tree_tapbuf_size4_8_sram; +wire [0:2] mux_tree_tapbuf_size4_8_sram_inv; +wire [0:0] mux_tree_tapbuf_size4_mem_0_ccff_tail; +wire [0:0] mux_tree_tapbuf_size4_mem_1_ccff_tail; +wire [0:0] mux_tree_tapbuf_size4_mem_2_ccff_tail; +wire [0:0] mux_tree_tapbuf_size4_mem_3_ccff_tail; +wire [0:0] mux_tree_tapbuf_size4_mem_4_ccff_tail; +wire [0:0] mux_tree_tapbuf_size4_mem_5_ccff_tail; +wire [0:0] mux_tree_tapbuf_size4_mem_6_ccff_tail; +wire [0:0] mux_tree_tapbuf_size4_mem_7_ccff_tail; + +// ----- BEGIN Local short connections ----- +// ----- Local connection due to Wire 0 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[0] = chany_bottom_in[0]; +// ----- Local connection due to Wire 1 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[1] = chany_bottom_in[1]; +// ----- Local connection due to Wire 2 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[2] = chany_bottom_in[2]; +// ----- Local connection due to Wire 3 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[3] = chany_bottom_in[3]; +// ----- Local connection due to Wire 4 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[4] = chany_bottom_in[4]; +// ----- Local connection due to Wire 5 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[5] = chany_bottom_in[5]; +// ----- Local connection due to Wire 6 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[6] = chany_bottom_in[6]; +// ----- Local connection due to Wire 7 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[7] = chany_bottom_in[7]; +// ----- Local connection due to Wire 8 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[8] = chany_bottom_in[8]; +// ----- Local connection due to Wire 9 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[9] = chany_bottom_in[9]; +// ----- Local connection due to Wire 10 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[0] = chany_top_in[0]; +// ----- Local connection due to Wire 11 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[1] = chany_top_in[1]; +// ----- Local connection due to Wire 12 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[2] = chany_top_in[2]; +// ----- Local connection due to Wire 13 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[3] = chany_top_in[3]; +// ----- Local connection due to Wire 14 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[4] = chany_top_in[4]; +// ----- Local connection due to Wire 15 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[5] = chany_top_in[5]; +// ----- Local connection due to Wire 16 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[6] = chany_top_in[6]; +// ----- Local connection due to Wire 17 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[7] = chany_top_in[7]; +// ----- Local connection due to Wire 18 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[8] = chany_top_in[8]; +// ----- Local connection due to Wire 19 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[9] = chany_top_in[9]; +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + mux_tree_tapbuf_size4 mux_left_ipin_0 ( + .in({chany_bottom_in[0], chany_top_in[0], chany_bottom_in[5], chany_top_in[5]}), + .sram(mux_tree_tapbuf_size4_0_sram[0:2]), + .sram_inv(mux_tree_tapbuf_size4_0_sram_inv[0:2]), + .out(right_grid_left_width_0_height_0_subtile_0__pin_I_3_)); + + mux_tree_tapbuf_size4 mux_right_ipin_0 ( + .in({chany_bottom_in[2], chany_top_in[2], chany_bottom_in[7], chany_top_in[7]}), + .sram(mux_tree_tapbuf_size4_1_sram[0:2]), + .sram_inv(mux_tree_tapbuf_size4_1_sram_inv[0:2]), + .out(left_grid_right_width_0_height_0_subtile_0__pin_outpad_0_)); + + mux_tree_tapbuf_size4 mux_right_ipin_1 ( + .in({chany_bottom_in[3], chany_top_in[3], chany_bottom_in[8], chany_top_in[8]}), + .sram(mux_tree_tapbuf_size4_2_sram[0:2]), + .sram_inv(mux_tree_tapbuf_size4_2_sram_inv[0:2]), + .out(left_grid_right_width_0_height_0_subtile_1__pin_outpad_0_)); + + mux_tree_tapbuf_size4 mux_right_ipin_2 ( + .in({chany_bottom_in[4], chany_top_in[4], chany_bottom_in[9], chany_top_in[9]}), + .sram(mux_tree_tapbuf_size4_3_sram[0:2]), + .sram_inv(mux_tree_tapbuf_size4_3_sram_inv[0:2]), + .out(left_grid_right_width_0_height_0_subtile_2__pin_outpad_0_)); + + mux_tree_tapbuf_size4 mux_right_ipin_3 ( + .in({chany_bottom_in[0], chany_top_in[0], chany_bottom_in[5], chany_top_in[5]}), + .sram(mux_tree_tapbuf_size4_4_sram[0:2]), + .sram_inv(mux_tree_tapbuf_size4_4_sram_inv[0:2]), + .out(left_grid_right_width_0_height_0_subtile_3__pin_outpad_0_)); + + mux_tree_tapbuf_size4 mux_right_ipin_4 ( + .in({chany_bottom_in[1], chany_top_in[1], chany_bottom_in[6], chany_top_in[6]}), + .sram(mux_tree_tapbuf_size4_5_sram[0:2]), + .sram_inv(mux_tree_tapbuf_size4_5_sram_inv[0:2]), + .out(left_grid_right_width_0_height_0_subtile_4__pin_outpad_0_)); + + mux_tree_tapbuf_size4 mux_right_ipin_5 ( + .in({chany_bottom_in[2], chany_top_in[2], chany_bottom_in[7], chany_top_in[7]}), + .sram(mux_tree_tapbuf_size4_6_sram[0:2]), + .sram_inv(mux_tree_tapbuf_size4_6_sram_inv[0:2]), + .out(left_grid_right_width_0_height_0_subtile_5__pin_outpad_0_)); + + mux_tree_tapbuf_size4 mux_right_ipin_6 ( + .in({chany_bottom_in[3], chany_top_in[3], chany_bottom_in[8], chany_top_in[8]}), + .sram(mux_tree_tapbuf_size4_7_sram[0:2]), + .sram_inv(mux_tree_tapbuf_size4_7_sram_inv[0:2]), + .out(left_grid_right_width_0_height_0_subtile_6__pin_outpad_0_)); + + mux_tree_tapbuf_size4 mux_right_ipin_7 ( + .in({chany_bottom_in[4], chany_top_in[4], chany_bottom_in[9], chany_top_in[9]}), + .sram(mux_tree_tapbuf_size4_8_sram[0:2]), + .sram_inv(mux_tree_tapbuf_size4_8_sram_inv[0:2]), + .out(left_grid_right_width_0_height_0_subtile_7__pin_outpad_0_)); + + mux_tree_tapbuf_size4_mem mem_left_ipin_0 ( + .prog_clk(prog_clk), + .ccff_head(ccff_head), + .ccff_tail(mux_tree_tapbuf_size4_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size4_0_sram[0:2]), + .mem_outb(mux_tree_tapbuf_size4_0_sram_inv[0:2])); + + mux_tree_tapbuf_size4_mem mem_right_ipin_0 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size4_mem_1_ccff_tail), + .mem_out(mux_tree_tapbuf_size4_1_sram[0:2]), + .mem_outb(mux_tree_tapbuf_size4_1_sram_inv[0:2])); + + mux_tree_tapbuf_size4_mem mem_right_ipin_1 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size4_mem_1_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size4_mem_2_ccff_tail), + .mem_out(mux_tree_tapbuf_size4_2_sram[0:2]), + .mem_outb(mux_tree_tapbuf_size4_2_sram_inv[0:2])); + + mux_tree_tapbuf_size4_mem mem_right_ipin_2 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size4_mem_2_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size4_mem_3_ccff_tail), + .mem_out(mux_tree_tapbuf_size4_3_sram[0:2]), + .mem_outb(mux_tree_tapbuf_size4_3_sram_inv[0:2])); + + mux_tree_tapbuf_size4_mem mem_right_ipin_3 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size4_mem_3_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size4_mem_4_ccff_tail), + .mem_out(mux_tree_tapbuf_size4_4_sram[0:2]), + .mem_outb(mux_tree_tapbuf_size4_4_sram_inv[0:2])); + + mux_tree_tapbuf_size4_mem mem_right_ipin_4 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size4_mem_4_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size4_mem_5_ccff_tail), + .mem_out(mux_tree_tapbuf_size4_5_sram[0:2]), + .mem_outb(mux_tree_tapbuf_size4_5_sram_inv[0:2])); + + mux_tree_tapbuf_size4_mem mem_right_ipin_5 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size4_mem_5_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size4_mem_6_ccff_tail), + .mem_out(mux_tree_tapbuf_size4_6_sram[0:2]), + .mem_outb(mux_tree_tapbuf_size4_6_sram_inv[0:2])); + + mux_tree_tapbuf_size4_mem mem_right_ipin_6 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size4_mem_6_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size4_mem_7_ccff_tail), + .mem_out(mux_tree_tapbuf_size4_7_sram[0:2]), + .mem_outb(mux_tree_tapbuf_size4_7_sram_inv[0:2])); + + mux_tree_tapbuf_size4_mem mem_right_ipin_7 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size4_mem_7_ccff_tail), + .ccff_tail(ccff_tail), + .mem_out(mux_tree_tapbuf_size4_8_sram[0:2]), + .mem_outb(mux_tree_tapbuf_size4_8_sram_inv[0:2])); + + mux_tree_tapbuf_size2 mux_left_ipin_1 ( + .in({chany_bottom_in[1], chany_top_in[1]}), + .sram(mux_tree_tapbuf_size2_0_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_0_sram_inv[0:1]), + .out(right_grid_left_width_0_height_0_subtile_0__pin_I_7_)); + + mux_tree_tapbuf_size2_mem mem_left_ipin_1 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size4_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_0_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_0_sram_inv[0:1])); + +endmodule +// ----- END Verilog module for cby_0__1_ ----- + +//----- Default net type ----- +`default_nettype none + + + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/cby_1__1_.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/cby_1__1_.v new file mode 100644 index 000000000..559ede39a --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/cby_1__1_.v @@ -0,0 +1,232 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Verilog modules for Unique Connection Blocks[1][1] +// Author: Xifan TANG +// Organization: University of Utah +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for cby_1__1_ ----- +module cby_1__1_(prog_clk, + chany_bottom_in, + chany_top_in, + ccff_head, + chany_bottom_out, + chany_top_out, + right_grid_left_width_0_height_0_subtile_0__pin_I_3_, + right_grid_left_width_0_height_0_subtile_0__pin_I_7_, + left_grid_right_width_0_height_0_subtile_0__pin_I_1_, + left_grid_right_width_0_height_0_subtile_0__pin_I_5_, + left_grid_right_width_0_height_0_subtile_0__pin_I_9_, + ccff_tail); +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- INPUT PORTS ----- +input [0:9] chany_bottom_in; +//----- INPUT PORTS ----- +input [0:9] chany_top_in; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:9] chany_bottom_out; +//----- OUTPUT PORTS ----- +output [0:9] chany_top_out; +//----- OUTPUT PORTS ----- +output [0:0] right_grid_left_width_0_height_0_subtile_0__pin_I_3_; +//----- OUTPUT PORTS ----- +output [0:0] right_grid_left_width_0_height_0_subtile_0__pin_I_7_; +//----- OUTPUT PORTS ----- +output [0:0] left_grid_right_width_0_height_0_subtile_0__pin_I_1_; +//----- OUTPUT PORTS ----- +output [0:0] left_grid_right_width_0_height_0_subtile_0__pin_I_5_; +//----- OUTPUT PORTS ----- +output [0:0] left_grid_right_width_0_height_0_subtile_0__pin_I_9_; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:1] mux_tree_tapbuf_size2_0_sram; +wire [0:1] mux_tree_tapbuf_size2_0_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_1_sram; +wire [0:1] mux_tree_tapbuf_size2_1_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_2_sram; +wire [0:1] mux_tree_tapbuf_size2_2_sram_inv; +wire [0:0] mux_tree_tapbuf_size2_mem_0_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_1_ccff_tail; +wire [0:2] mux_tree_tapbuf_size4_0_sram; +wire [0:2] mux_tree_tapbuf_size4_0_sram_inv; +wire [0:2] mux_tree_tapbuf_size4_1_sram; +wire [0:2] mux_tree_tapbuf_size4_1_sram_inv; +wire [0:0] mux_tree_tapbuf_size4_mem_0_ccff_tail; +wire [0:0] mux_tree_tapbuf_size4_mem_1_ccff_tail; + +// ----- BEGIN Local short connections ----- +// ----- Local connection due to Wire 0 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[0] = chany_bottom_in[0]; +// ----- Local connection due to Wire 1 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[1] = chany_bottom_in[1]; +// ----- Local connection due to Wire 2 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[2] = chany_bottom_in[2]; +// ----- Local connection due to Wire 3 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[3] = chany_bottom_in[3]; +// ----- Local connection due to Wire 4 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[4] = chany_bottom_in[4]; +// ----- Local connection due to Wire 5 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[5] = chany_bottom_in[5]; +// ----- Local connection due to Wire 6 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[6] = chany_bottom_in[6]; +// ----- Local connection due to Wire 7 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[7] = chany_bottom_in[7]; +// ----- Local connection due to Wire 8 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[8] = chany_bottom_in[8]; +// ----- Local connection due to Wire 9 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[9] = chany_bottom_in[9]; +// ----- Local connection due to Wire 10 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[0] = chany_top_in[0]; +// ----- Local connection due to Wire 11 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[1] = chany_top_in[1]; +// ----- Local connection due to Wire 12 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[2] = chany_top_in[2]; +// ----- Local connection due to Wire 13 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[3] = chany_top_in[3]; +// ----- Local connection due to Wire 14 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[4] = chany_top_in[4]; +// ----- Local connection due to Wire 15 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[5] = chany_top_in[5]; +// ----- Local connection due to Wire 16 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[6] = chany_top_in[6]; +// ----- Local connection due to Wire 17 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[7] = chany_top_in[7]; +// ----- Local connection due to Wire 18 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[8] = chany_top_in[8]; +// ----- Local connection due to Wire 19 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[9] = chany_top_in[9]; +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + mux_tree_tapbuf_size4 mux_left_ipin_0 ( + .in({chany_bottom_in[0], chany_top_in[0], chany_bottom_in[5], chany_top_in[5]}), + .sram(mux_tree_tapbuf_size4_0_sram[0:2]), + .sram_inv(mux_tree_tapbuf_size4_0_sram_inv[0:2]), + .out(right_grid_left_width_0_height_0_subtile_0__pin_I_3_)); + + mux_tree_tapbuf_size4 mux_right_ipin_0 ( + .in({chany_bottom_in[2], chany_top_in[2], chany_bottom_in[7], chany_top_in[7]}), + .sram(mux_tree_tapbuf_size4_1_sram[0:2]), + .sram_inv(mux_tree_tapbuf_size4_1_sram_inv[0:2]), + .out(left_grid_right_width_0_height_0_subtile_0__pin_I_1_)); + + mux_tree_tapbuf_size4_mem mem_left_ipin_0 ( + .prog_clk(prog_clk), + .ccff_head(ccff_head), + .ccff_tail(mux_tree_tapbuf_size4_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size4_0_sram[0:2]), + .mem_outb(mux_tree_tapbuf_size4_0_sram_inv[0:2])); + + mux_tree_tapbuf_size4_mem mem_right_ipin_0 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size4_mem_1_ccff_tail), + .mem_out(mux_tree_tapbuf_size4_1_sram[0:2]), + .mem_outb(mux_tree_tapbuf_size4_1_sram_inv[0:2])); + + mux_tree_tapbuf_size2 mux_left_ipin_1 ( + .in({chany_bottom_in[1], chany_top_in[1]}), + .sram(mux_tree_tapbuf_size2_0_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_0_sram_inv[0:1]), + .out(right_grid_left_width_0_height_0_subtile_0__pin_I_7_)); + + mux_tree_tapbuf_size2 mux_right_ipin_1 ( + .in({chany_bottom_in[3], chany_top_in[3]}), + .sram(mux_tree_tapbuf_size2_1_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_1_sram_inv[0:1]), + .out(left_grid_right_width_0_height_0_subtile_0__pin_I_5_)); + + mux_tree_tapbuf_size2 mux_right_ipin_2 ( + .in({chany_bottom_in[4], chany_top_in[4]}), + .sram(mux_tree_tapbuf_size2_2_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_2_sram_inv[0:1]), + .out(left_grid_right_width_0_height_0_subtile_0__pin_I_9_)); + + mux_tree_tapbuf_size2_mem mem_left_ipin_1 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size4_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_0_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_0_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_right_ipin_1 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size4_mem_1_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_1_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_1_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_1_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_right_ipin_2 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_1_ccff_tail), + .ccff_tail(ccff_tail), + .mem_out(mux_tree_tapbuf_size2_2_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_2_sram_inv[0:1])); + +endmodule +// ----- END Verilog module for cby_1__1_ ----- + +//----- Default net type ----- +`default_nettype none + + + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/cby_4__1_.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/cby_4__1_.v new file mode 100644 index 000000000..1511d59df --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/cby_4__1_.v @@ -0,0 +1,346 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Verilog modules for Unique Connection Blocks[4][1] +// Author: Xifan TANG +// Organization: University of Utah +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for cby_4__1_ ----- +module cby_4__1_(prog_clk, + chany_bottom_in, + chany_top_in, + ccff_head, + chany_bottom_out, + chany_top_out, + right_grid_left_width_0_height_0_subtile_0__pin_outpad_0_, + right_grid_left_width_0_height_0_subtile_1__pin_outpad_0_, + right_grid_left_width_0_height_0_subtile_2__pin_outpad_0_, + right_grid_left_width_0_height_0_subtile_3__pin_outpad_0_, + right_grid_left_width_0_height_0_subtile_4__pin_outpad_0_, + right_grid_left_width_0_height_0_subtile_5__pin_outpad_0_, + right_grid_left_width_0_height_0_subtile_6__pin_outpad_0_, + right_grid_left_width_0_height_0_subtile_7__pin_outpad_0_, + left_grid_right_width_0_height_0_subtile_0__pin_I_1_, + left_grid_right_width_0_height_0_subtile_0__pin_I_5_, + left_grid_right_width_0_height_0_subtile_0__pin_I_9_, + ccff_tail); +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- INPUT PORTS ----- +input [0:9] chany_bottom_in; +//----- INPUT PORTS ----- +input [0:9] chany_top_in; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:9] chany_bottom_out; +//----- OUTPUT PORTS ----- +output [0:9] chany_top_out; +//----- OUTPUT PORTS ----- +output [0:0] right_grid_left_width_0_height_0_subtile_0__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] right_grid_left_width_0_height_0_subtile_1__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] right_grid_left_width_0_height_0_subtile_2__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] right_grid_left_width_0_height_0_subtile_3__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] right_grid_left_width_0_height_0_subtile_4__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] right_grid_left_width_0_height_0_subtile_5__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] right_grid_left_width_0_height_0_subtile_6__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] right_grid_left_width_0_height_0_subtile_7__pin_outpad_0_; +//----- OUTPUT PORTS ----- +output [0:0] left_grid_right_width_0_height_0_subtile_0__pin_I_1_; +//----- OUTPUT PORTS ----- +output [0:0] left_grid_right_width_0_height_0_subtile_0__pin_I_5_; +//----- OUTPUT PORTS ----- +output [0:0] left_grid_right_width_0_height_0_subtile_0__pin_I_9_; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:1] mux_tree_tapbuf_size2_0_sram; +wire [0:1] mux_tree_tapbuf_size2_0_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_1_sram; +wire [0:1] mux_tree_tapbuf_size2_1_sram_inv; +wire [0:0] mux_tree_tapbuf_size2_mem_0_ccff_tail; +wire [0:2] mux_tree_tapbuf_size4_0_sram; +wire [0:2] mux_tree_tapbuf_size4_0_sram_inv; +wire [0:2] mux_tree_tapbuf_size4_1_sram; +wire [0:2] mux_tree_tapbuf_size4_1_sram_inv; +wire [0:2] mux_tree_tapbuf_size4_2_sram; +wire [0:2] mux_tree_tapbuf_size4_2_sram_inv; +wire [0:2] mux_tree_tapbuf_size4_3_sram; +wire [0:2] mux_tree_tapbuf_size4_3_sram_inv; +wire [0:2] mux_tree_tapbuf_size4_4_sram; +wire [0:2] mux_tree_tapbuf_size4_4_sram_inv; +wire [0:2] mux_tree_tapbuf_size4_5_sram; +wire [0:2] mux_tree_tapbuf_size4_5_sram_inv; +wire [0:2] mux_tree_tapbuf_size4_6_sram; +wire [0:2] mux_tree_tapbuf_size4_6_sram_inv; +wire [0:2] mux_tree_tapbuf_size4_7_sram; +wire [0:2] mux_tree_tapbuf_size4_7_sram_inv; +wire [0:2] mux_tree_tapbuf_size4_8_sram; +wire [0:2] mux_tree_tapbuf_size4_8_sram_inv; +wire [0:0] mux_tree_tapbuf_size4_mem_0_ccff_tail; +wire [0:0] mux_tree_tapbuf_size4_mem_1_ccff_tail; +wire [0:0] mux_tree_tapbuf_size4_mem_2_ccff_tail; +wire [0:0] mux_tree_tapbuf_size4_mem_3_ccff_tail; +wire [0:0] mux_tree_tapbuf_size4_mem_4_ccff_tail; +wire [0:0] mux_tree_tapbuf_size4_mem_5_ccff_tail; +wire [0:0] mux_tree_tapbuf_size4_mem_6_ccff_tail; +wire [0:0] mux_tree_tapbuf_size4_mem_7_ccff_tail; +wire [0:0] mux_tree_tapbuf_size4_mem_8_ccff_tail; + +// ----- BEGIN Local short connections ----- +// ----- Local connection due to Wire 0 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[0] = chany_bottom_in[0]; +// ----- Local connection due to Wire 1 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[1] = chany_bottom_in[1]; +// ----- Local connection due to Wire 2 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[2] = chany_bottom_in[2]; +// ----- Local connection due to Wire 3 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[3] = chany_bottom_in[3]; +// ----- Local connection due to Wire 4 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[4] = chany_bottom_in[4]; +// ----- Local connection due to Wire 5 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[5] = chany_bottom_in[5]; +// ----- Local connection due to Wire 6 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[6] = chany_bottom_in[6]; +// ----- Local connection due to Wire 7 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[7] = chany_bottom_in[7]; +// ----- Local connection due to Wire 8 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[8] = chany_bottom_in[8]; +// ----- Local connection due to Wire 9 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[9] = chany_bottom_in[9]; +// ----- Local connection due to Wire 10 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[0] = chany_top_in[0]; +// ----- Local connection due to Wire 11 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[1] = chany_top_in[1]; +// ----- Local connection due to Wire 12 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[2] = chany_top_in[2]; +// ----- Local connection due to Wire 13 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[3] = chany_top_in[3]; +// ----- Local connection due to Wire 14 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[4] = chany_top_in[4]; +// ----- Local connection due to Wire 15 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[5] = chany_top_in[5]; +// ----- Local connection due to Wire 16 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[6] = chany_top_in[6]; +// ----- Local connection due to Wire 17 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[7] = chany_top_in[7]; +// ----- Local connection due to Wire 18 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[8] = chany_top_in[8]; +// ----- Local connection due to Wire 19 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[9] = chany_top_in[9]; +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + mux_tree_tapbuf_size4 mux_left_ipin_0 ( + .in({chany_bottom_in[0], chany_top_in[0], chany_bottom_in[5], chany_top_in[5]}), + .sram(mux_tree_tapbuf_size4_0_sram[0:2]), + .sram_inv(mux_tree_tapbuf_size4_0_sram_inv[0:2]), + .out(right_grid_left_width_0_height_0_subtile_0__pin_outpad_0_)); + + mux_tree_tapbuf_size4 mux_left_ipin_1 ( + .in({chany_bottom_in[1], chany_top_in[1], chany_bottom_in[6], chany_top_in[6]}), + .sram(mux_tree_tapbuf_size4_1_sram[0:2]), + .sram_inv(mux_tree_tapbuf_size4_1_sram_inv[0:2]), + .out(right_grid_left_width_0_height_0_subtile_1__pin_outpad_0_)); + + mux_tree_tapbuf_size4 mux_left_ipin_2 ( + .in({chany_bottom_in[2], chany_top_in[2], chany_bottom_in[7], chany_top_in[7]}), + .sram(mux_tree_tapbuf_size4_2_sram[0:2]), + .sram_inv(mux_tree_tapbuf_size4_2_sram_inv[0:2]), + .out(right_grid_left_width_0_height_0_subtile_2__pin_outpad_0_)); + + mux_tree_tapbuf_size4 mux_left_ipin_3 ( + .in({chany_bottom_in[3], chany_top_in[3], chany_bottom_in[8], chany_top_in[8]}), + .sram(mux_tree_tapbuf_size4_3_sram[0:2]), + .sram_inv(mux_tree_tapbuf_size4_3_sram_inv[0:2]), + .out(right_grid_left_width_0_height_0_subtile_3__pin_outpad_0_)); + + mux_tree_tapbuf_size4 mux_left_ipin_4 ( + .in({chany_bottom_in[4], chany_top_in[4], chany_bottom_in[9], chany_top_in[9]}), + .sram(mux_tree_tapbuf_size4_4_sram[0:2]), + .sram_inv(mux_tree_tapbuf_size4_4_sram_inv[0:2]), + .out(right_grid_left_width_0_height_0_subtile_4__pin_outpad_0_)); + + mux_tree_tapbuf_size4 mux_left_ipin_5 ( + .in({chany_bottom_in[0], chany_top_in[0], chany_bottom_in[5], chany_top_in[5]}), + .sram(mux_tree_tapbuf_size4_5_sram[0:2]), + .sram_inv(mux_tree_tapbuf_size4_5_sram_inv[0:2]), + .out(right_grid_left_width_0_height_0_subtile_5__pin_outpad_0_)); + + mux_tree_tapbuf_size4 mux_left_ipin_6 ( + .in({chany_bottom_in[1], chany_top_in[1], chany_bottom_in[6], chany_top_in[6]}), + .sram(mux_tree_tapbuf_size4_6_sram[0:2]), + .sram_inv(mux_tree_tapbuf_size4_6_sram_inv[0:2]), + .out(right_grid_left_width_0_height_0_subtile_6__pin_outpad_0_)); + + mux_tree_tapbuf_size4 mux_left_ipin_7 ( + .in({chany_bottom_in[2], chany_top_in[2], chany_bottom_in[7], chany_top_in[7]}), + .sram(mux_tree_tapbuf_size4_7_sram[0:2]), + .sram_inv(mux_tree_tapbuf_size4_7_sram_inv[0:2]), + .out(right_grid_left_width_0_height_0_subtile_7__pin_outpad_0_)); + + mux_tree_tapbuf_size4 mux_right_ipin_0 ( + .in({chany_bottom_in[3], chany_top_in[3], chany_bottom_in[8], chany_top_in[8]}), + .sram(mux_tree_tapbuf_size4_8_sram[0:2]), + .sram_inv(mux_tree_tapbuf_size4_8_sram_inv[0:2]), + .out(left_grid_right_width_0_height_0_subtile_0__pin_I_1_)); + + mux_tree_tapbuf_size4_mem mem_left_ipin_0 ( + .prog_clk(prog_clk), + .ccff_head(ccff_head), + .ccff_tail(mux_tree_tapbuf_size4_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size4_0_sram[0:2]), + .mem_outb(mux_tree_tapbuf_size4_0_sram_inv[0:2])); + + mux_tree_tapbuf_size4_mem mem_left_ipin_1 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size4_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size4_mem_1_ccff_tail), + .mem_out(mux_tree_tapbuf_size4_1_sram[0:2]), + .mem_outb(mux_tree_tapbuf_size4_1_sram_inv[0:2])); + + mux_tree_tapbuf_size4_mem mem_left_ipin_2 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size4_mem_1_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size4_mem_2_ccff_tail), + .mem_out(mux_tree_tapbuf_size4_2_sram[0:2]), + .mem_outb(mux_tree_tapbuf_size4_2_sram_inv[0:2])); + + mux_tree_tapbuf_size4_mem mem_left_ipin_3 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size4_mem_2_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size4_mem_3_ccff_tail), + .mem_out(mux_tree_tapbuf_size4_3_sram[0:2]), + .mem_outb(mux_tree_tapbuf_size4_3_sram_inv[0:2])); + + mux_tree_tapbuf_size4_mem mem_left_ipin_4 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size4_mem_3_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size4_mem_4_ccff_tail), + .mem_out(mux_tree_tapbuf_size4_4_sram[0:2]), + .mem_outb(mux_tree_tapbuf_size4_4_sram_inv[0:2])); + + mux_tree_tapbuf_size4_mem mem_left_ipin_5 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size4_mem_4_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size4_mem_5_ccff_tail), + .mem_out(mux_tree_tapbuf_size4_5_sram[0:2]), + .mem_outb(mux_tree_tapbuf_size4_5_sram_inv[0:2])); + + mux_tree_tapbuf_size4_mem mem_left_ipin_6 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size4_mem_5_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size4_mem_6_ccff_tail), + .mem_out(mux_tree_tapbuf_size4_6_sram[0:2]), + .mem_outb(mux_tree_tapbuf_size4_6_sram_inv[0:2])); + + mux_tree_tapbuf_size4_mem mem_left_ipin_7 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size4_mem_6_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size4_mem_7_ccff_tail), + .mem_out(mux_tree_tapbuf_size4_7_sram[0:2]), + .mem_outb(mux_tree_tapbuf_size4_7_sram_inv[0:2])); + + mux_tree_tapbuf_size4_mem mem_right_ipin_0 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size4_mem_7_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size4_mem_8_ccff_tail), + .mem_out(mux_tree_tapbuf_size4_8_sram[0:2]), + .mem_outb(mux_tree_tapbuf_size4_8_sram_inv[0:2])); + + mux_tree_tapbuf_size2 mux_right_ipin_1 ( + .in({chany_bottom_in[9], chany_top_in[9]}), + .sram(mux_tree_tapbuf_size2_0_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_0_sram_inv[0:1]), + .out(left_grid_right_width_0_height_0_subtile_0__pin_I_5_)); + + mux_tree_tapbuf_size2 mux_right_ipin_2 ( + .in({chany_bottom_in[0], chany_top_in[0]}), + .sram(mux_tree_tapbuf_size2_1_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_1_sram_inv[0:1]), + .out(left_grid_right_width_0_height_0_subtile_0__pin_I_9_)); + + mux_tree_tapbuf_size2_mem mem_right_ipin_1 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size4_mem_8_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_0_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_0_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_right_ipin_2 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_0_ccff_tail), + .ccff_tail(ccff_tail), + .mem_out(mux_tree_tapbuf_size2_1_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_1_sram_inv[0:1])); + +endmodule +// ----- END Verilog module for cby_4__1_ ----- + +//----- Default net type ----- +`default_nettype none + + + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/sb_0__0_.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/sb_0__0_.v new file mode 100644 index 000000000..6efac65dc --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/sb_0__0_.v @@ -0,0 +1,406 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Verilog modules for Unique Switch Blocks[0][0] +// Author: Xifan TANG +// Organization: University of Utah +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for sb_0__0_ ----- +module sb_0__0_(prog_clk, + chany_top_in, + top_left_grid_right_width_0_height_0_subtile_0__pin_inpad_0_, + top_left_grid_right_width_0_height_0_subtile_1__pin_inpad_0_, + top_left_grid_right_width_0_height_0_subtile_2__pin_inpad_0_, + top_left_grid_right_width_0_height_0_subtile_3__pin_inpad_0_, + top_left_grid_right_width_0_height_0_subtile_4__pin_inpad_0_, + top_left_grid_right_width_0_height_0_subtile_5__pin_inpad_0_, + top_left_grid_right_width_0_height_0_subtile_6__pin_inpad_0_, + top_left_grid_right_width_0_height_0_subtile_7__pin_inpad_0_, + top_right_grid_left_width_0_height_0_subtile_0__pin_O_1_, + chanx_right_in, + right_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_, + right_bottom_grid_top_width_0_height_0_subtile_0__pin_inpad_0_, + right_bottom_grid_top_width_0_height_0_subtile_1__pin_inpad_0_, + right_bottom_grid_top_width_0_height_0_subtile_2__pin_inpad_0_, + right_bottom_grid_top_width_0_height_0_subtile_3__pin_inpad_0_, + right_bottom_grid_top_width_0_height_0_subtile_4__pin_inpad_0_, + right_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_, + right_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_, + right_bottom_grid_top_width_0_height_0_subtile_7__pin_inpad_0_, + ccff_head, + chany_top_out, + chanx_right_out, + ccff_tail); +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- INPUT PORTS ----- +input [0:9] chany_top_in; +//----- INPUT PORTS ----- +input [0:0] top_left_grid_right_width_0_height_0_subtile_0__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] top_left_grid_right_width_0_height_0_subtile_1__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] top_left_grid_right_width_0_height_0_subtile_2__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] top_left_grid_right_width_0_height_0_subtile_3__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] top_left_grid_right_width_0_height_0_subtile_4__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] top_left_grid_right_width_0_height_0_subtile_5__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] top_left_grid_right_width_0_height_0_subtile_6__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] top_left_grid_right_width_0_height_0_subtile_7__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] top_right_grid_left_width_0_height_0_subtile_0__pin_O_1_; +//----- INPUT PORTS ----- +input [0:9] chanx_right_in; +//----- INPUT PORTS ----- +input [0:0] right_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_; +//----- INPUT PORTS ----- +input [0:0] right_bottom_grid_top_width_0_height_0_subtile_0__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] right_bottom_grid_top_width_0_height_0_subtile_1__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] right_bottom_grid_top_width_0_height_0_subtile_2__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] right_bottom_grid_top_width_0_height_0_subtile_3__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] right_bottom_grid_top_width_0_height_0_subtile_4__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] right_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] right_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] right_bottom_grid_top_width_0_height_0_subtile_7__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:9] chany_top_out; +//----- OUTPUT PORTS ----- +output [0:9] chanx_right_out; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:1] mux_tree_tapbuf_size2_0_sram; +wire [0:1] mux_tree_tapbuf_size2_0_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_10_sram; +wire [0:1] mux_tree_tapbuf_size2_10_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_11_sram; +wire [0:1] mux_tree_tapbuf_size2_11_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_12_sram; +wire [0:1] mux_tree_tapbuf_size2_12_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_13_sram; +wire [0:1] mux_tree_tapbuf_size2_13_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_14_sram; +wire [0:1] mux_tree_tapbuf_size2_14_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_15_sram; +wire [0:1] mux_tree_tapbuf_size2_15_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_16_sram; +wire [0:1] mux_tree_tapbuf_size2_16_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_17_sram; +wire [0:1] mux_tree_tapbuf_size2_17_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_1_sram; +wire [0:1] mux_tree_tapbuf_size2_1_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_2_sram; +wire [0:1] mux_tree_tapbuf_size2_2_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_3_sram; +wire [0:1] mux_tree_tapbuf_size2_3_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_4_sram; +wire [0:1] mux_tree_tapbuf_size2_4_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_5_sram; +wire [0:1] mux_tree_tapbuf_size2_5_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_6_sram; +wire [0:1] mux_tree_tapbuf_size2_6_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_7_sram; +wire [0:1] mux_tree_tapbuf_size2_7_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_8_sram; +wire [0:1] mux_tree_tapbuf_size2_8_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_9_sram; +wire [0:1] mux_tree_tapbuf_size2_9_sram_inv; +wire [0:0] mux_tree_tapbuf_size2_mem_0_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_10_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_11_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_12_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_13_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_14_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_15_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_16_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_1_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_2_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_3_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_4_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_5_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_6_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_7_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_8_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_9_ccff_tail; + +// ----- BEGIN Local short connections ----- +// ----- Local connection due to Wire 8 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[9] = chany_top_in[8]; +// ----- Local connection due to Wire 19 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[9] = chanx_right_in[0]; +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + mux_tree_tapbuf_size2 mux_top_track_0 ( + .in({top_left_grid_right_width_0_height_0_subtile_0__pin_inpad_0_, chanx_right_in[1]}), + .sram(mux_tree_tapbuf_size2_0_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_0_sram_inv[0:1]), + .out(chany_top_out[0])); + + mux_tree_tapbuf_size2 mux_top_track_2 ( + .in({top_left_grid_right_width_0_height_0_subtile_1__pin_inpad_0_, chanx_right_in[2]}), + .sram(mux_tree_tapbuf_size2_1_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_1_sram_inv[0:1]), + .out(chany_top_out[1])); + + mux_tree_tapbuf_size2 mux_top_track_4 ( + .in({top_left_grid_right_width_0_height_0_subtile_2__pin_inpad_0_, chanx_right_in[3]}), + .sram(mux_tree_tapbuf_size2_2_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_2_sram_inv[0:1]), + .out(chany_top_out[2])); + + mux_tree_tapbuf_size2 mux_top_track_6 ( + .in({top_left_grid_right_width_0_height_0_subtile_3__pin_inpad_0_, chanx_right_in[4]}), + .sram(mux_tree_tapbuf_size2_3_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_3_sram_inv[0:1]), + .out(chany_top_out[3])); + + mux_tree_tapbuf_size2 mux_top_track_8 ( + .in({top_left_grid_right_width_0_height_0_subtile_4__pin_inpad_0_, chanx_right_in[5]}), + .sram(mux_tree_tapbuf_size2_4_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_4_sram_inv[0:1]), + .out(chany_top_out[4])); + + mux_tree_tapbuf_size2 mux_top_track_10 ( + .in({top_left_grid_right_width_0_height_0_subtile_5__pin_inpad_0_, chanx_right_in[6]}), + .sram(mux_tree_tapbuf_size2_5_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_5_sram_inv[0:1]), + .out(chany_top_out[5])); + + mux_tree_tapbuf_size2 mux_top_track_12 ( + .in({top_left_grid_right_width_0_height_0_subtile_6__pin_inpad_0_, chanx_right_in[7]}), + .sram(mux_tree_tapbuf_size2_6_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_6_sram_inv[0:1]), + .out(chany_top_out[6])); + + mux_tree_tapbuf_size2 mux_top_track_14 ( + .in({top_left_grid_right_width_0_height_0_subtile_7__pin_inpad_0_, chanx_right_in[8]}), + .sram(mux_tree_tapbuf_size2_7_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_7_sram_inv[0:1]), + .out(chany_top_out[7])); + + mux_tree_tapbuf_size2 mux_top_track_16 ( + .in({top_right_grid_left_width_0_height_0_subtile_0__pin_O_1_, chanx_right_in[9]}), + .sram(mux_tree_tapbuf_size2_8_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_8_sram_inv[0:1]), + .out(chany_top_out[8])); + + mux_tree_tapbuf_size2 mux_right_track_0 ( + .in({chany_top_in[9], right_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_}), + .sram(mux_tree_tapbuf_size2_9_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_9_sram_inv[0:1]), + .out(chanx_right_out[0])); + + mux_tree_tapbuf_size2 mux_right_track_2 ( + .in({chany_top_in[0], right_bottom_grid_top_width_0_height_0_subtile_0__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size2_10_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_10_sram_inv[0:1]), + .out(chanx_right_out[1])); + + mux_tree_tapbuf_size2 mux_right_track_4 ( + .in({chany_top_in[1], right_bottom_grid_top_width_0_height_0_subtile_1__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size2_11_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_11_sram_inv[0:1]), + .out(chanx_right_out[2])); + + mux_tree_tapbuf_size2 mux_right_track_6 ( + .in({chany_top_in[2], right_bottom_grid_top_width_0_height_0_subtile_2__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size2_12_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_12_sram_inv[0:1]), + .out(chanx_right_out[3])); + + mux_tree_tapbuf_size2 mux_right_track_8 ( + .in({chany_top_in[3], right_bottom_grid_top_width_0_height_0_subtile_3__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size2_13_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_13_sram_inv[0:1]), + .out(chanx_right_out[4])); + + mux_tree_tapbuf_size2 mux_right_track_10 ( + .in({chany_top_in[4], right_bottom_grid_top_width_0_height_0_subtile_4__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size2_14_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_14_sram_inv[0:1]), + .out(chanx_right_out[5])); + + mux_tree_tapbuf_size2 mux_right_track_12 ( + .in({chany_top_in[5], right_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size2_15_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_15_sram_inv[0:1]), + .out(chanx_right_out[6])); + + mux_tree_tapbuf_size2 mux_right_track_14 ( + .in({chany_top_in[6], right_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size2_16_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_16_sram_inv[0:1]), + .out(chanx_right_out[7])); + + mux_tree_tapbuf_size2 mux_right_track_16 ( + .in({chany_top_in[7], right_bottom_grid_top_width_0_height_0_subtile_7__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size2_17_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_17_sram_inv[0:1]), + .out(chanx_right_out[8])); + + mux_tree_tapbuf_size2_mem mem_top_track_0 ( + .prog_clk(prog_clk), + .ccff_head(ccff_head), + .ccff_tail(mux_tree_tapbuf_size2_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_0_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_0_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_top_track_2 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_1_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_1_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_1_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_top_track_4 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_1_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_2_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_2_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_2_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_top_track_6 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_2_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_3_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_3_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_3_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_top_track_8 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_3_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_4_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_4_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_4_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_top_track_10 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_4_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_5_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_5_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_5_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_top_track_12 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_5_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_6_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_6_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_6_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_top_track_14 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_6_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_7_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_7_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_7_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_top_track_16 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_7_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_8_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_8_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_8_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_right_track_0 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_8_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_9_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_9_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_9_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_right_track_2 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_9_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_10_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_10_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_10_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_right_track_4 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_10_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_11_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_11_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_11_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_right_track_6 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_11_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_12_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_12_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_12_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_right_track_8 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_12_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_13_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_13_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_13_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_right_track_10 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_13_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_14_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_14_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_14_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_right_track_12 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_14_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_15_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_15_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_15_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_right_track_14 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_15_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_16_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_16_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_16_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_right_track_16 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_16_ccff_tail), + .ccff_tail(ccff_tail), + .mem_out(mux_tree_tapbuf_size2_17_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_17_sram_inv[0:1])); + +endmodule +// ----- END Verilog module for sb_0__0_ ----- + +//----- Default net type ----- +`default_nettype none + + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/sb_0__1_.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/sb_0__1_.v new file mode 100644 index 000000000..596cf942b --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/sb_0__1_.v @@ -0,0 +1,406 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Verilog modules for Unique Switch Blocks[0][1] +// Author: Xifan TANG +// Organization: University of Utah +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for sb_0__1_ ----- +module sb_0__1_(prog_clk, + chany_top_in, + top_left_grid_right_width_0_height_0_subtile_0__pin_inpad_0_, + top_left_grid_right_width_0_height_0_subtile_1__pin_inpad_0_, + top_left_grid_right_width_0_height_0_subtile_2__pin_inpad_0_, + top_left_grid_right_width_0_height_0_subtile_3__pin_inpad_0_, + top_left_grid_right_width_0_height_0_subtile_4__pin_inpad_0_, + top_left_grid_right_width_0_height_0_subtile_5__pin_inpad_0_, + top_left_grid_right_width_0_height_0_subtile_6__pin_inpad_0_, + top_left_grid_right_width_0_height_0_subtile_7__pin_inpad_0_, + top_right_grid_left_width_0_height_0_subtile_0__pin_O_1_, + chanx_right_in, + right_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_, + right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_, + chany_bottom_in, + bottom_right_grid_left_width_0_height_0_subtile_0__pin_O_1_, + bottom_left_grid_right_width_0_height_0_subtile_0__pin_inpad_0_, + bottom_left_grid_right_width_0_height_0_subtile_1__pin_inpad_0_, + bottom_left_grid_right_width_0_height_0_subtile_2__pin_inpad_0_, + bottom_left_grid_right_width_0_height_0_subtile_3__pin_inpad_0_, + bottom_left_grid_right_width_0_height_0_subtile_4__pin_inpad_0_, + bottom_left_grid_right_width_0_height_0_subtile_5__pin_inpad_0_, + bottom_left_grid_right_width_0_height_0_subtile_6__pin_inpad_0_, + bottom_left_grid_right_width_0_height_0_subtile_7__pin_inpad_0_, + ccff_head, + chany_top_out, + chanx_right_out, + chany_bottom_out, + ccff_tail); +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- INPUT PORTS ----- +input [0:9] chany_top_in; +//----- INPUT PORTS ----- +input [0:0] top_left_grid_right_width_0_height_0_subtile_0__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] top_left_grid_right_width_0_height_0_subtile_1__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] top_left_grid_right_width_0_height_0_subtile_2__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] top_left_grid_right_width_0_height_0_subtile_3__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] top_left_grid_right_width_0_height_0_subtile_4__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] top_left_grid_right_width_0_height_0_subtile_5__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] top_left_grid_right_width_0_height_0_subtile_6__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] top_left_grid_right_width_0_height_0_subtile_7__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] top_right_grid_left_width_0_height_0_subtile_0__pin_O_1_; +//----- INPUT PORTS ----- +input [0:9] chanx_right_in; +//----- INPUT PORTS ----- +input [0:0] right_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_; +//----- INPUT PORTS ----- +input [0:0] right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_; +//----- INPUT PORTS ----- +input [0:9] chany_bottom_in; +//----- INPUT PORTS ----- +input [0:0] bottom_right_grid_left_width_0_height_0_subtile_0__pin_O_1_; +//----- INPUT PORTS ----- +input [0:0] bottom_left_grid_right_width_0_height_0_subtile_0__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] bottom_left_grid_right_width_0_height_0_subtile_1__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] bottom_left_grid_right_width_0_height_0_subtile_2__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] bottom_left_grid_right_width_0_height_0_subtile_3__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] bottom_left_grid_right_width_0_height_0_subtile_4__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] bottom_left_grid_right_width_0_height_0_subtile_5__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] bottom_left_grid_right_width_0_height_0_subtile_6__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] bottom_left_grid_right_width_0_height_0_subtile_7__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:9] chany_top_out; +//----- OUTPUT PORTS ----- +output [0:9] chanx_right_out; +//----- OUTPUT PORTS ----- +output [0:9] chany_bottom_out; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:1] mux_tree_tapbuf_size2_0_sram; +wire [0:1] mux_tree_tapbuf_size2_0_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_1_sram; +wire [0:1] mux_tree_tapbuf_size2_1_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_2_sram; +wire [0:1] mux_tree_tapbuf_size2_2_sram_inv; +wire [0:0] mux_tree_tapbuf_size2_mem_0_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_1_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_2_ccff_tail; +wire [0:1] mux_tree_tapbuf_size3_0_sram; +wire [0:1] mux_tree_tapbuf_size3_0_sram_inv; +wire [0:1] mux_tree_tapbuf_size3_1_sram; +wire [0:1] mux_tree_tapbuf_size3_1_sram_inv; +wire [0:1] mux_tree_tapbuf_size3_2_sram; +wire [0:1] mux_tree_tapbuf_size3_2_sram_inv; +wire [0:1] mux_tree_tapbuf_size3_3_sram; +wire [0:1] mux_tree_tapbuf_size3_3_sram_inv; +wire [0:1] mux_tree_tapbuf_size3_4_sram; +wire [0:1] mux_tree_tapbuf_size3_4_sram_inv; +wire [0:0] mux_tree_tapbuf_size3_mem_0_ccff_tail; +wire [0:0] mux_tree_tapbuf_size3_mem_1_ccff_tail; +wire [0:0] mux_tree_tapbuf_size3_mem_2_ccff_tail; +wire [0:0] mux_tree_tapbuf_size3_mem_3_ccff_tail; +wire [0:0] mux_tree_tapbuf_size3_mem_4_ccff_tail; +wire [0:3] mux_tree_tapbuf_size8_0_sram; +wire [0:3] mux_tree_tapbuf_size8_0_sram_inv; +wire [0:3] mux_tree_tapbuf_size8_1_sram; +wire [0:3] mux_tree_tapbuf_size8_1_sram_inv; +wire [0:0] mux_tree_tapbuf_size8_mem_0_ccff_tail; +wire [0:3] mux_tree_tapbuf_size9_0_sram; +wire [0:3] mux_tree_tapbuf_size9_0_sram_inv; +wire [0:3] mux_tree_tapbuf_size9_1_sram; +wire [0:3] mux_tree_tapbuf_size9_1_sram_inv; +wire [0:3] mux_tree_tapbuf_size9_2_sram; +wire [0:3] mux_tree_tapbuf_size9_2_sram_inv; +wire [0:3] mux_tree_tapbuf_size9_3_sram; +wire [0:3] mux_tree_tapbuf_size9_3_sram_inv; +wire [0:0] mux_tree_tapbuf_size9_mem_0_ccff_tail; +wire [0:0] mux_tree_tapbuf_size9_mem_1_ccff_tail; +wire [0:0] mux_tree_tapbuf_size9_mem_2_ccff_tail; +wire [0:0] mux_tree_tapbuf_size9_mem_3_ccff_tail; + +// ----- BEGIN Local short connections ----- +// ----- Local connection due to Wire 0 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 2 ----- + assign chany_bottom_out[1] = chany_top_in[0]; +// ----- Local connection due to Wire 1 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 1 ----- + assign chany_bottom_out[2] = chany_top_in[1]; +// ----- Local connection due to Wire 2 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 1 ----- + assign chany_bottom_out[3] = chany_top_in[2]; +// ----- Local connection due to Wire 4 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 2 ----- + assign chany_bottom_out[5] = chany_top_in[4]; +// ----- Local connection due to Wire 5 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 2 ----- + assign chany_bottom_out[6] = chany_top_in[5]; +// ----- Local connection due to Wire 6 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 1 ----- + assign chany_bottom_out[7] = chany_top_in[6]; +// ----- Local connection due to Wire 8 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 2 ----- + assign chany_bottom_out[9] = chany_top_in[8]; +// ----- Local connection due to Wire 29 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[0] = right_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_[0]; +// ----- Local connection due to Wire 31 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 1 ----- + assign chany_top_out[1] = chany_bottom_in[0]; +// ----- Local connection due to Wire 32 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[2] = chany_bottom_in[1]; +// ----- Local connection due to Wire 33 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[3] = chany_bottom_in[2]; +// ----- Local connection due to Wire 35 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 1 ----- + assign chany_top_out[5] = chany_bottom_in[4]; +// ----- Local connection due to Wire 36 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 1 ----- + assign chany_top_out[6] = chany_bottom_in[5]; +// ----- Local connection due to Wire 37 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[7] = chany_bottom_in[6]; +// ----- Local connection due to Wire 39 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 1 ----- + assign chany_top_out[9] = chany_bottom_in[8]; +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + mux_tree_tapbuf_size9 mux_top_track_0 ( + .in({top_left_grid_right_width_0_height_0_subtile_0__pin_inpad_0_, top_left_grid_right_width_0_height_0_subtile_3__pin_inpad_0_, top_left_grid_right_width_0_height_0_subtile_6__pin_inpad_0_, chanx_right_in[1], chanx_right_in[4], chanx_right_in[7], chany_bottom_in[0], chany_bottom_in[4], chany_bottom_in[8]}), + .sram(mux_tree_tapbuf_size9_0_sram[0:3]), + .sram_inv(mux_tree_tapbuf_size9_0_sram_inv[0:3]), + .out(chany_top_out[0])); + + mux_tree_tapbuf_size9 mux_top_track_16 ( + .in({top_left_grid_right_width_0_height_0_subtile_2__pin_inpad_0_, top_left_grid_right_width_0_height_0_subtile_5__pin_inpad_0_, top_right_grid_left_width_0_height_0_subtile_0__pin_O_1_, chanx_right_in[0], chanx_right_in[3], chanx_right_in[6], chanx_right_in[9], chany_bottom_in[2], chany_bottom_in[6]}), + .sram(mux_tree_tapbuf_size9_1_sram[0:3]), + .sram_inv(mux_tree_tapbuf_size9_1_sram_inv[0:3]), + .out(chany_top_out[8])); + + mux_tree_tapbuf_size9 mux_bottom_track_1 ( + .in({chany_top_in[0], chany_top_in[4], chany_top_in[8], chanx_right_in[1], chanx_right_in[4], chanx_right_in[7], bottom_right_grid_left_width_0_height_0_subtile_0__pin_O_1_, bottom_left_grid_right_width_0_height_0_subtile_2__pin_inpad_0_, bottom_left_grid_right_width_0_height_0_subtile_5__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size9_2_sram[0:3]), + .sram_inv(mux_tree_tapbuf_size9_2_sram_inv[0:3]), + .out(chany_bottom_out[0])); + + mux_tree_tapbuf_size9 mux_bottom_track_9 ( + .in({chany_top_in[1], chany_top_in[5], chanx_right_in[0], chanx_right_in[3], chanx_right_in[6], chanx_right_in[9], bottom_left_grid_right_width_0_height_0_subtile_0__pin_inpad_0_, bottom_left_grid_right_width_0_height_0_subtile_3__pin_inpad_0_, bottom_left_grid_right_width_0_height_0_subtile_6__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size9_3_sram[0:3]), + .sram_inv(mux_tree_tapbuf_size9_3_sram_inv[0:3]), + .out(chany_bottom_out[4])); + + mux_tree_tapbuf_size9_mem mem_top_track_0 ( + .prog_clk(prog_clk), + .ccff_head(ccff_head), + .ccff_tail(mux_tree_tapbuf_size9_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size9_0_sram[0:3]), + .mem_outb(mux_tree_tapbuf_size9_0_sram_inv[0:3])); + + mux_tree_tapbuf_size9_mem mem_top_track_16 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size8_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size9_mem_1_ccff_tail), + .mem_out(mux_tree_tapbuf_size9_1_sram[0:3]), + .mem_outb(mux_tree_tapbuf_size9_1_sram_inv[0:3])); + + mux_tree_tapbuf_size9_mem mem_bottom_track_1 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_2_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size9_mem_2_ccff_tail), + .mem_out(mux_tree_tapbuf_size9_2_sram[0:3]), + .mem_outb(mux_tree_tapbuf_size9_2_sram_inv[0:3])); + + mux_tree_tapbuf_size9_mem mem_bottom_track_9 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size9_mem_2_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size9_mem_3_ccff_tail), + .mem_out(mux_tree_tapbuf_size9_3_sram[0:3]), + .mem_outb(mux_tree_tapbuf_size9_3_sram_inv[0:3])); + + mux_tree_tapbuf_size8 mux_top_track_8 ( + .in({top_left_grid_right_width_0_height_0_subtile_1__pin_inpad_0_, top_left_grid_right_width_0_height_0_subtile_4__pin_inpad_0_, top_left_grid_right_width_0_height_0_subtile_7__pin_inpad_0_, chanx_right_in[2], chanx_right_in[5], chanx_right_in[8], chany_bottom_in[1], chany_bottom_in[5]}), + .sram(mux_tree_tapbuf_size8_0_sram[0:3]), + .sram_inv(mux_tree_tapbuf_size8_0_sram_inv[0:3]), + .out(chany_top_out[4])); + + mux_tree_tapbuf_size8 mux_bottom_track_17 ( + .in({chany_top_in[2], chany_top_in[6], chanx_right_in[2], chanx_right_in[5], chanx_right_in[8], bottom_left_grid_right_width_0_height_0_subtile_1__pin_inpad_0_, bottom_left_grid_right_width_0_height_0_subtile_4__pin_inpad_0_, bottom_left_grid_right_width_0_height_0_subtile_7__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size8_1_sram[0:3]), + .sram_inv(mux_tree_tapbuf_size8_1_sram_inv[0:3]), + .out(chany_bottom_out[8])); + + mux_tree_tapbuf_size8_mem mem_top_track_8 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size9_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size8_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size8_0_sram[0:3]), + .mem_outb(mux_tree_tapbuf_size8_0_sram_inv[0:3])); + + mux_tree_tapbuf_size8_mem mem_bottom_track_17 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size9_mem_3_ccff_tail), + .ccff_tail(ccff_tail), + .mem_out(mux_tree_tapbuf_size8_1_sram[0:3]), + .mem_outb(mux_tree_tapbuf_size8_1_sram_inv[0:3])); + + mux_tree_tapbuf_size3 mux_right_track_2 ( + .in({chany_top_in[0], chany_top_in[3], right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_}), + .sram(mux_tree_tapbuf_size3_0_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size3_0_sram_inv[0:1]), + .out(chanx_right_out[1])); + + mux_tree_tapbuf_size3 mux_right_track_4 ( + .in({chany_top_in[1], chany_top_in[7], chany_bottom_in[8]}), + .sram(mux_tree_tapbuf_size3_1_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size3_1_sram_inv[0:1]), + .out(chanx_right_out[2])); + + mux_tree_tapbuf_size3 mux_right_track_6 ( + .in({chany_top_in[2], chany_top_in[9], chany_bottom_in[6]}), + .sram(mux_tree_tapbuf_size3_2_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size3_2_sram_inv[0:1]), + .out(chanx_right_out[3])); + + mux_tree_tapbuf_size3 mux_right_track_12 ( + .in({chany_top_in[6], chany_bottom_in[2], chany_bottom_in[9]}), + .sram(mux_tree_tapbuf_size3_3_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size3_3_sram_inv[0:1]), + .out(chanx_right_out[6])); + + mux_tree_tapbuf_size3 mux_right_track_14 ( + .in({chany_top_in[8], chany_bottom_in[1], chany_bottom_in[7]}), + .sram(mux_tree_tapbuf_size3_4_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size3_4_sram_inv[0:1]), + .out(chanx_right_out[7])); + + mux_tree_tapbuf_size3_mem mem_right_track_2 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size9_mem_1_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size3_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size3_0_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size3_0_sram_inv[0:1])); + + mux_tree_tapbuf_size3_mem mem_right_track_4 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size3_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size3_mem_1_ccff_tail), + .mem_out(mux_tree_tapbuf_size3_1_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size3_1_sram_inv[0:1])); + + mux_tree_tapbuf_size3_mem mem_right_track_6 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size3_mem_1_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size3_mem_2_ccff_tail), + .mem_out(mux_tree_tapbuf_size3_2_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size3_2_sram_inv[0:1])); + + mux_tree_tapbuf_size3_mem mem_right_track_12 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_1_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size3_mem_3_ccff_tail), + .mem_out(mux_tree_tapbuf_size3_3_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size3_3_sram_inv[0:1])); + + mux_tree_tapbuf_size3_mem mem_right_track_14 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size3_mem_3_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size3_mem_4_ccff_tail), + .mem_out(mux_tree_tapbuf_size3_4_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size3_4_sram_inv[0:1])); + + mux_tree_tapbuf_size2 mux_right_track_8 ( + .in({chany_top_in[4], chany_bottom_in[5]}), + .sram(mux_tree_tapbuf_size2_0_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_0_sram_inv[0:1]), + .out(chanx_right_out[4])); + + mux_tree_tapbuf_size2 mux_right_track_10 ( + .in({chany_top_in[5], chany_bottom_in[4]}), + .sram(mux_tree_tapbuf_size2_1_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_1_sram_inv[0:1]), + .out(chanx_right_out[5])); + + mux_tree_tapbuf_size2 mux_right_track_16 ( + .in({chany_bottom_in[0], chany_bottom_in[3]}), + .sram(mux_tree_tapbuf_size2_2_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_2_sram_inv[0:1]), + .out(chanx_right_out[8])); + + mux_tree_tapbuf_size2_mem mem_right_track_8 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size3_mem_2_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_0_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_0_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_right_track_10 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_1_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_1_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_1_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_right_track_16 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size3_mem_4_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_2_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_2_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_2_sram_inv[0:1])); + +endmodule +// ----- END Verilog module for sb_0__1_ ----- + +//----- Default net type ----- +`default_nettype none + + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/sb_0__4_.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/sb_0__4_.v new file mode 100644 index 000000000..d4c168609 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/sb_0__4_.v @@ -0,0 +1,406 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Verilog modules for Unique Switch Blocks[0][4] +// Author: Xifan TANG +// Organization: University of Utah +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for sb_0__4_ ----- +module sb_0__4_(prog_clk, + chanx_right_in, + right_top_grid_bottom_width_0_height_0_subtile_0__pin_inpad_0_, + right_top_grid_bottom_width_0_height_0_subtile_1__pin_inpad_0_, + right_top_grid_bottom_width_0_height_0_subtile_2__pin_inpad_0_, + right_top_grid_bottom_width_0_height_0_subtile_3__pin_inpad_0_, + right_top_grid_bottom_width_0_height_0_subtile_4__pin_inpad_0_, + right_top_grid_bottom_width_0_height_0_subtile_5__pin_inpad_0_, + right_top_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_, + right_top_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_, + right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_, + chany_bottom_in, + bottom_right_grid_left_width_0_height_0_subtile_0__pin_O_1_, + bottom_left_grid_right_width_0_height_0_subtile_0__pin_inpad_0_, + bottom_left_grid_right_width_0_height_0_subtile_1__pin_inpad_0_, + bottom_left_grid_right_width_0_height_0_subtile_2__pin_inpad_0_, + bottom_left_grid_right_width_0_height_0_subtile_3__pin_inpad_0_, + bottom_left_grid_right_width_0_height_0_subtile_4__pin_inpad_0_, + bottom_left_grid_right_width_0_height_0_subtile_5__pin_inpad_0_, + bottom_left_grid_right_width_0_height_0_subtile_6__pin_inpad_0_, + bottom_left_grid_right_width_0_height_0_subtile_7__pin_inpad_0_, + ccff_head, + chanx_right_out, + chany_bottom_out, + ccff_tail); +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- INPUT PORTS ----- +input [0:9] chanx_right_in; +//----- INPUT PORTS ----- +input [0:0] right_top_grid_bottom_width_0_height_0_subtile_0__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] right_top_grid_bottom_width_0_height_0_subtile_1__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] right_top_grid_bottom_width_0_height_0_subtile_2__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] right_top_grid_bottom_width_0_height_0_subtile_3__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] right_top_grid_bottom_width_0_height_0_subtile_4__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] right_top_grid_bottom_width_0_height_0_subtile_5__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] right_top_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] right_top_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_; +//----- INPUT PORTS ----- +input [0:9] chany_bottom_in; +//----- INPUT PORTS ----- +input [0:0] bottom_right_grid_left_width_0_height_0_subtile_0__pin_O_1_; +//----- INPUT PORTS ----- +input [0:0] bottom_left_grid_right_width_0_height_0_subtile_0__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] bottom_left_grid_right_width_0_height_0_subtile_1__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] bottom_left_grid_right_width_0_height_0_subtile_2__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] bottom_left_grid_right_width_0_height_0_subtile_3__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] bottom_left_grid_right_width_0_height_0_subtile_4__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] bottom_left_grid_right_width_0_height_0_subtile_5__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] bottom_left_grid_right_width_0_height_0_subtile_6__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] bottom_left_grid_right_width_0_height_0_subtile_7__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:9] chanx_right_out; +//----- OUTPUT PORTS ----- +output [0:9] chany_bottom_out; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:1] mux_tree_tapbuf_size2_0_sram; +wire [0:1] mux_tree_tapbuf_size2_0_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_10_sram; +wire [0:1] mux_tree_tapbuf_size2_10_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_11_sram; +wire [0:1] mux_tree_tapbuf_size2_11_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_12_sram; +wire [0:1] mux_tree_tapbuf_size2_12_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_13_sram; +wire [0:1] mux_tree_tapbuf_size2_13_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_14_sram; +wire [0:1] mux_tree_tapbuf_size2_14_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_15_sram; +wire [0:1] mux_tree_tapbuf_size2_15_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_16_sram; +wire [0:1] mux_tree_tapbuf_size2_16_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_17_sram; +wire [0:1] mux_tree_tapbuf_size2_17_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_1_sram; +wire [0:1] mux_tree_tapbuf_size2_1_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_2_sram; +wire [0:1] mux_tree_tapbuf_size2_2_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_3_sram; +wire [0:1] mux_tree_tapbuf_size2_3_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_4_sram; +wire [0:1] mux_tree_tapbuf_size2_4_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_5_sram; +wire [0:1] mux_tree_tapbuf_size2_5_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_6_sram; +wire [0:1] mux_tree_tapbuf_size2_6_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_7_sram; +wire [0:1] mux_tree_tapbuf_size2_7_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_8_sram; +wire [0:1] mux_tree_tapbuf_size2_8_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_9_sram; +wire [0:1] mux_tree_tapbuf_size2_9_sram_inv; +wire [0:0] mux_tree_tapbuf_size2_mem_0_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_10_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_11_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_12_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_13_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_14_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_15_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_16_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_1_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_2_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_3_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_4_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_5_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_6_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_7_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_8_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_9_ccff_tail; + +// ----- BEGIN Local short connections ----- +// ----- Local connection due to Wire 9 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[9] = chanx_right_in[9]; +// ----- Local connection due to Wire 28 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[9] = chany_bottom_in[9]; +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + mux_tree_tapbuf_size2 mux_right_track_0 ( + .in({right_top_grid_bottom_width_0_height_0_subtile_0__pin_inpad_0_, chany_bottom_in[8]}), + .sram(mux_tree_tapbuf_size2_0_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_0_sram_inv[0:1]), + .out(chanx_right_out[0])); + + mux_tree_tapbuf_size2 mux_right_track_2 ( + .in({right_top_grid_bottom_width_0_height_0_subtile_1__pin_inpad_0_, chany_bottom_in[7]}), + .sram(mux_tree_tapbuf_size2_1_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_1_sram_inv[0:1]), + .out(chanx_right_out[1])); + + mux_tree_tapbuf_size2 mux_right_track_4 ( + .in({right_top_grid_bottom_width_0_height_0_subtile_2__pin_inpad_0_, chany_bottom_in[6]}), + .sram(mux_tree_tapbuf_size2_2_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_2_sram_inv[0:1]), + .out(chanx_right_out[2])); + + mux_tree_tapbuf_size2 mux_right_track_6 ( + .in({right_top_grid_bottom_width_0_height_0_subtile_3__pin_inpad_0_, chany_bottom_in[5]}), + .sram(mux_tree_tapbuf_size2_3_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_3_sram_inv[0:1]), + .out(chanx_right_out[3])); + + mux_tree_tapbuf_size2 mux_right_track_8 ( + .in({right_top_grid_bottom_width_0_height_0_subtile_4__pin_inpad_0_, chany_bottom_in[4]}), + .sram(mux_tree_tapbuf_size2_4_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_4_sram_inv[0:1]), + .out(chanx_right_out[4])); + + mux_tree_tapbuf_size2 mux_right_track_10 ( + .in({right_top_grid_bottom_width_0_height_0_subtile_5__pin_inpad_0_, chany_bottom_in[3]}), + .sram(mux_tree_tapbuf_size2_5_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_5_sram_inv[0:1]), + .out(chanx_right_out[5])); + + mux_tree_tapbuf_size2 mux_right_track_12 ( + .in({right_top_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_, chany_bottom_in[2]}), + .sram(mux_tree_tapbuf_size2_6_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_6_sram_inv[0:1]), + .out(chanx_right_out[6])); + + mux_tree_tapbuf_size2 mux_right_track_14 ( + .in({right_top_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_, chany_bottom_in[1]}), + .sram(mux_tree_tapbuf_size2_7_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_7_sram_inv[0:1]), + .out(chanx_right_out[7])); + + mux_tree_tapbuf_size2 mux_right_track_16 ( + .in({right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_, chany_bottom_in[0]}), + .sram(mux_tree_tapbuf_size2_8_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_8_sram_inv[0:1]), + .out(chanx_right_out[8])); + + mux_tree_tapbuf_size2 mux_bottom_track_1 ( + .in({chanx_right_in[8], bottom_right_grid_left_width_0_height_0_subtile_0__pin_O_1_}), + .sram(mux_tree_tapbuf_size2_9_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_9_sram_inv[0:1]), + .out(chany_bottom_out[0])); + + mux_tree_tapbuf_size2 mux_bottom_track_3 ( + .in({chanx_right_in[7], bottom_left_grid_right_width_0_height_0_subtile_0__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size2_10_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_10_sram_inv[0:1]), + .out(chany_bottom_out[1])); + + mux_tree_tapbuf_size2 mux_bottom_track_5 ( + .in({chanx_right_in[6], bottom_left_grid_right_width_0_height_0_subtile_1__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size2_11_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_11_sram_inv[0:1]), + .out(chany_bottom_out[2])); + + mux_tree_tapbuf_size2 mux_bottom_track_7 ( + .in({chanx_right_in[5], bottom_left_grid_right_width_0_height_0_subtile_2__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size2_12_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_12_sram_inv[0:1]), + .out(chany_bottom_out[3])); + + mux_tree_tapbuf_size2 mux_bottom_track_9 ( + .in({chanx_right_in[4], bottom_left_grid_right_width_0_height_0_subtile_3__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size2_13_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_13_sram_inv[0:1]), + .out(chany_bottom_out[4])); + + mux_tree_tapbuf_size2 mux_bottom_track_11 ( + .in({chanx_right_in[3], bottom_left_grid_right_width_0_height_0_subtile_4__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size2_14_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_14_sram_inv[0:1]), + .out(chany_bottom_out[5])); + + mux_tree_tapbuf_size2 mux_bottom_track_13 ( + .in({chanx_right_in[2], bottom_left_grid_right_width_0_height_0_subtile_5__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size2_15_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_15_sram_inv[0:1]), + .out(chany_bottom_out[6])); + + mux_tree_tapbuf_size2 mux_bottom_track_15 ( + .in({chanx_right_in[1], bottom_left_grid_right_width_0_height_0_subtile_6__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size2_16_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_16_sram_inv[0:1]), + .out(chany_bottom_out[7])); + + mux_tree_tapbuf_size2 mux_bottom_track_17 ( + .in({chanx_right_in[0], bottom_left_grid_right_width_0_height_0_subtile_7__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size2_17_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_17_sram_inv[0:1]), + .out(chany_bottom_out[8])); + + mux_tree_tapbuf_size2_mem mem_right_track_0 ( + .prog_clk(prog_clk), + .ccff_head(ccff_head), + .ccff_tail(mux_tree_tapbuf_size2_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_0_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_0_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_right_track_2 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_1_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_1_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_1_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_right_track_4 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_1_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_2_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_2_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_2_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_right_track_6 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_2_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_3_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_3_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_3_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_right_track_8 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_3_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_4_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_4_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_4_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_right_track_10 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_4_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_5_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_5_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_5_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_right_track_12 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_5_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_6_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_6_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_6_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_right_track_14 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_6_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_7_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_7_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_7_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_right_track_16 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_7_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_8_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_8_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_8_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_bottom_track_1 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_8_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_9_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_9_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_9_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_bottom_track_3 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_9_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_10_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_10_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_10_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_bottom_track_5 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_10_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_11_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_11_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_11_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_bottom_track_7 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_11_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_12_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_12_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_12_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_bottom_track_9 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_12_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_13_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_13_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_13_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_bottom_track_11 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_13_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_14_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_14_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_14_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_bottom_track_13 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_14_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_15_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_15_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_15_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_bottom_track_15 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_15_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_16_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_16_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_16_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_bottom_track_17 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_16_ccff_tail), + .ccff_tail(ccff_tail), + .mem_out(mux_tree_tapbuf_size2_17_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_17_sram_inv[0:1])); + +endmodule +// ----- END Verilog module for sb_0__4_ ----- + +//----- Default net type ----- +`default_nettype none + + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/sb_1__0_.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/sb_1__0_.v new file mode 100644 index 000000000..f1a6323de --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/sb_1__0_.v @@ -0,0 +1,382 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Verilog modules for Unique Switch Blocks[1][0] +// Author: Xifan TANG +// Organization: University of Utah +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for sb_1__0_ ----- +module sb_1__0_(prog_clk, + chany_top_in, + top_left_grid_right_width_0_height_0_subtile_0__pin_O_3_, + top_right_grid_left_width_0_height_0_subtile_0__pin_O_1_, + chanx_right_in, + right_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_, + right_bottom_grid_top_width_0_height_0_subtile_0__pin_inpad_0_, + right_bottom_grid_top_width_0_height_0_subtile_1__pin_inpad_0_, + right_bottom_grid_top_width_0_height_0_subtile_2__pin_inpad_0_, + right_bottom_grid_top_width_0_height_0_subtile_3__pin_inpad_0_, + right_bottom_grid_top_width_0_height_0_subtile_4__pin_inpad_0_, + right_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_, + right_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_, + right_bottom_grid_top_width_0_height_0_subtile_7__pin_inpad_0_, + chanx_left_in, + left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_, + left_bottom_grid_top_width_0_height_0_subtile_0__pin_inpad_0_, + left_bottom_grid_top_width_0_height_0_subtile_1__pin_inpad_0_, + left_bottom_grid_top_width_0_height_0_subtile_2__pin_inpad_0_, + left_bottom_grid_top_width_0_height_0_subtile_3__pin_inpad_0_, + left_bottom_grid_top_width_0_height_0_subtile_4__pin_inpad_0_, + left_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_, + left_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_, + left_bottom_grid_top_width_0_height_0_subtile_7__pin_inpad_0_, + ccff_head, + chany_top_out, + chanx_right_out, + chanx_left_out, + ccff_tail); +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- INPUT PORTS ----- +input [0:9] chany_top_in; +//----- INPUT PORTS ----- +input [0:0] top_left_grid_right_width_0_height_0_subtile_0__pin_O_3_; +//----- INPUT PORTS ----- +input [0:0] top_right_grid_left_width_0_height_0_subtile_0__pin_O_1_; +//----- INPUT PORTS ----- +input [0:9] chanx_right_in; +//----- INPUT PORTS ----- +input [0:0] right_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_; +//----- INPUT PORTS ----- +input [0:0] right_bottom_grid_top_width_0_height_0_subtile_0__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] right_bottom_grid_top_width_0_height_0_subtile_1__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] right_bottom_grid_top_width_0_height_0_subtile_2__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] right_bottom_grid_top_width_0_height_0_subtile_3__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] right_bottom_grid_top_width_0_height_0_subtile_4__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] right_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] right_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] right_bottom_grid_top_width_0_height_0_subtile_7__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:9] chanx_left_in; +//----- INPUT PORTS ----- +input [0:0] left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_; +//----- INPUT PORTS ----- +input [0:0] left_bottom_grid_top_width_0_height_0_subtile_0__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_bottom_grid_top_width_0_height_0_subtile_1__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_bottom_grid_top_width_0_height_0_subtile_2__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_bottom_grid_top_width_0_height_0_subtile_3__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_bottom_grid_top_width_0_height_0_subtile_4__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_bottom_grid_top_width_0_height_0_subtile_7__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:9] chany_top_out; +//----- OUTPUT PORTS ----- +output [0:9] chanx_right_out; +//----- OUTPUT PORTS ----- +output [0:9] chanx_left_out; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:3] mux_tree_tapbuf_size10_0_sram; +wire [0:3] mux_tree_tapbuf_size10_0_sram_inv; +wire [0:0] mux_tree_tapbuf_size10_mem_0_ccff_tail; +wire [0:1] mux_tree_tapbuf_size2_0_sram; +wire [0:1] mux_tree_tapbuf_size2_0_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_1_sram; +wire [0:1] mux_tree_tapbuf_size2_1_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_2_sram; +wire [0:1] mux_tree_tapbuf_size2_2_sram_inv; +wire [0:0] mux_tree_tapbuf_size2_mem_0_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_1_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_2_ccff_tail; +wire [0:1] mux_tree_tapbuf_size3_0_sram; +wire [0:1] mux_tree_tapbuf_size3_0_sram_inv; +wire [0:0] mux_tree_tapbuf_size3_mem_0_ccff_tail; +wire [0:2] mux_tree_tapbuf_size4_0_sram; +wire [0:2] mux_tree_tapbuf_size4_0_sram_inv; +wire [0:0] mux_tree_tapbuf_size4_mem_0_ccff_tail; +wire [0:2] mux_tree_tapbuf_size5_0_sram; +wire [0:2] mux_tree_tapbuf_size5_0_sram_inv; +wire [0:0] mux_tree_tapbuf_size5_mem_0_ccff_tail; +wire [0:3] mux_tree_tapbuf_size8_0_sram; +wire [0:3] mux_tree_tapbuf_size8_0_sram_inv; +wire [0:3] mux_tree_tapbuf_size8_1_sram; +wire [0:3] mux_tree_tapbuf_size8_1_sram_inv; +wire [0:3] mux_tree_tapbuf_size8_2_sram; +wire [0:3] mux_tree_tapbuf_size8_2_sram_inv; +wire [0:0] mux_tree_tapbuf_size8_mem_0_ccff_tail; +wire [0:0] mux_tree_tapbuf_size8_mem_1_ccff_tail; +wire [0:3] mux_tree_tapbuf_size9_0_sram; +wire [0:3] mux_tree_tapbuf_size9_0_sram_inv; +wire [0:3] mux_tree_tapbuf_size9_1_sram; +wire [0:3] mux_tree_tapbuf_size9_1_sram_inv; +wire [0:0] mux_tree_tapbuf_size9_mem_0_ccff_tail; +wire [0:0] mux_tree_tapbuf_size9_mem_1_ccff_tail; + +// ----- BEGIN Local short connections ----- +// ----- Local connection due to Wire 12 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 2 ----- + assign chanx_left_out[1] = chanx_right_in[0]; +// ----- Local connection due to Wire 13 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 1 ----- + assign chanx_left_out[2] = chanx_right_in[1]; +// ----- Local connection due to Wire 14 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 1 ----- + assign chanx_left_out[3] = chanx_right_in[2]; +// ----- Local connection due to Wire 16 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[2] = chanx_right_in[4]; +// ----- Net sink id 2 ----- + assign chanx_left_out[5] = chanx_right_in[4]; +// ----- Local connection due to Wire 17 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[3] = chanx_right_in[5]; +// ----- Net sink id 2 ----- + assign chanx_left_out[6] = chanx_right_in[5]; +// ----- Local connection due to Wire 18 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 1 ----- + assign chanx_left_out[7] = chanx_right_in[6]; +// ----- Local connection due to Wire 20 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 2 ----- + assign chanx_left_out[9] = chanx_right_in[8]; +// ----- Local connection due to Wire 31 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 2 ----- + assign chanx_right_out[1] = chanx_left_in[0]; +// ----- Local connection due to Wire 32 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 1 ----- + assign chanx_right_out[2] = chanx_left_in[1]; +// ----- Local connection due to Wire 33 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 1 ----- + assign chanx_right_out[3] = chanx_left_in[2]; +// ----- Local connection due to Wire 35 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[7] = chanx_left_in[4]; +// ----- Net sink id 2 ----- + assign chanx_right_out[5] = chanx_left_in[4]; +// ----- Local connection due to Wire 36 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[6] = chanx_left_in[5]; +// ----- Net sink id 2 ----- + assign chanx_right_out[6] = chanx_left_in[5]; +// ----- Local connection due to Wire 37 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 1 ----- + assign chanx_right_out[7] = chanx_left_in[6]; +// ----- Local connection due to Wire 39 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 2 ----- + assign chanx_right_out[9] = chanx_left_in[8]; +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- + assign chanx_left_out[5] = chany_top_out[2]; + assign chanx_left_out[6] = chany_top_out[3]; + assign chanx_right_out[5] = chany_top_out[7]; + assign chanx_right_out[6] = chany_top_out[6]; +// ----- END Local output short connections ----- + + mux_tree_tapbuf_size5 mux_top_track_0 ( + .in({top_left_grid_right_width_0_height_0_subtile_0__pin_O_3_, chanx_right_in[1], chanx_right_in[7], chanx_left_in[0], chanx_left_in[3]}), + .sram(mux_tree_tapbuf_size5_0_sram[0:2]), + .sram_inv(mux_tree_tapbuf_size5_0_sram_inv[0:2]), + .out(chany_top_out[0])); + + mux_tree_tapbuf_size5_mem mem_top_track_0 ( + .prog_clk(prog_clk), + .ccff_head(ccff_head), + .ccff_tail(mux_tree_tapbuf_size5_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size5_0_sram[0:2]), + .mem_outb(mux_tree_tapbuf_size5_0_sram_inv[0:2])); + + mux_tree_tapbuf_size3 mux_top_track_2 ( + .in({top_right_grid_left_width_0_height_0_subtile_0__pin_O_1_, chanx_right_in[2], chanx_right_in[9]}), + .sram(mux_tree_tapbuf_size3_0_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size3_0_sram_inv[0:1]), + .out(chany_top_out[1])); + + mux_tree_tapbuf_size3_mem mem_top_track_2 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size5_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size3_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size3_0_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size3_0_sram_inv[0:1])); + + mux_tree_tapbuf_size2 mux_top_track_8 ( + .in({chanx_right_in[6], chanx_left_in[8]}), + .sram(mux_tree_tapbuf_size2_0_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_0_sram_inv[0:1]), + .out(chany_top_out[4])); + + mux_tree_tapbuf_size2 mux_top_track_10 ( + .in({chanx_right_in[8], chanx_left_in[6]}), + .sram(mux_tree_tapbuf_size2_1_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_1_sram_inv[0:1]), + .out(chany_top_out[5])); + + mux_tree_tapbuf_size2 mux_top_track_16 ( + .in({chanx_left_in[2], chanx_left_in[9]}), + .sram(mux_tree_tapbuf_size2_2_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_2_sram_inv[0:1]), + .out(chany_top_out[8])); + + mux_tree_tapbuf_size2_mem mem_top_track_8 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size3_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_0_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_0_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_top_track_10 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_1_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_1_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_1_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_top_track_16 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_1_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_2_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_2_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_2_sram_inv[0:1])); + + mux_tree_tapbuf_size4 mux_top_track_18 ( + .in({chanx_right_in[0], chanx_right_in[3], chanx_left_in[1], chanx_left_in[7]}), + .sram(mux_tree_tapbuf_size4_0_sram[0:2]), + .sram_inv(mux_tree_tapbuf_size4_0_sram_inv[0:2]), + .out(chany_top_out[9])); + + mux_tree_tapbuf_size4_mem mem_top_track_18 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_2_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size4_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size4_0_sram[0:2]), + .mem_outb(mux_tree_tapbuf_size4_0_sram_inv[0:2])); + + mux_tree_tapbuf_size9 mux_right_track_0 ( + .in({chany_top_in[2], chany_top_in[5], chany_top_in[8], right_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_, right_bottom_grid_top_width_0_height_0_subtile_2__pin_inpad_0_, right_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_, chanx_left_in[0], chanx_left_in[4], chanx_left_in[8]}), + .sram(mux_tree_tapbuf_size9_0_sram[0:3]), + .sram_inv(mux_tree_tapbuf_size9_0_sram_inv[0:3]), + .out(chanx_right_out[0])); + + mux_tree_tapbuf_size9 mux_right_track_8 ( + .in({chany_top_in[0], chany_top_in[3], chany_top_in[6], chany_top_in[9], right_bottom_grid_top_width_0_height_0_subtile_0__pin_inpad_0_, right_bottom_grid_top_width_0_height_0_subtile_3__pin_inpad_0_, right_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_, chanx_left_in[1], chanx_left_in[5]}), + .sram(mux_tree_tapbuf_size9_1_sram[0:3]), + .sram_inv(mux_tree_tapbuf_size9_1_sram_inv[0:3]), + .out(chanx_right_out[4])); + + mux_tree_tapbuf_size9_mem mem_right_track_0 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size4_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size9_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size9_0_sram[0:3]), + .mem_outb(mux_tree_tapbuf_size9_0_sram_inv[0:3])); + + mux_tree_tapbuf_size9_mem mem_right_track_8 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size9_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size9_mem_1_ccff_tail), + .mem_out(mux_tree_tapbuf_size9_1_sram[0:3]), + .mem_outb(mux_tree_tapbuf_size9_1_sram_inv[0:3])); + + mux_tree_tapbuf_size8 mux_right_track_16 ( + .in({chany_top_in[1], chany_top_in[4], chany_top_in[7], right_bottom_grid_top_width_0_height_0_subtile_1__pin_inpad_0_, right_bottom_grid_top_width_0_height_0_subtile_4__pin_inpad_0_, right_bottom_grid_top_width_0_height_0_subtile_7__pin_inpad_0_, chanx_left_in[2], chanx_left_in[6]}), + .sram(mux_tree_tapbuf_size8_0_sram[0:3]), + .sram_inv(mux_tree_tapbuf_size8_0_sram_inv[0:3]), + .out(chanx_right_out[8])); + + mux_tree_tapbuf_size8 mux_left_track_9 ( + .in({chany_top_in[2], chany_top_in[5], chany_top_in[8], chanx_right_in[1], chanx_right_in[5], left_bottom_grid_top_width_0_height_0_subtile_0__pin_inpad_0_, left_bottom_grid_top_width_0_height_0_subtile_3__pin_inpad_0_, left_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size8_1_sram[0:3]), + .sram_inv(mux_tree_tapbuf_size8_1_sram_inv[0:3]), + .out(chanx_left_out[4])); + + mux_tree_tapbuf_size8 mux_left_track_17 ( + .in({chany_top_in[1], chany_top_in[4], chany_top_in[7], chanx_right_in[2], chanx_right_in[6], left_bottom_grid_top_width_0_height_0_subtile_1__pin_inpad_0_, left_bottom_grid_top_width_0_height_0_subtile_4__pin_inpad_0_, left_bottom_grid_top_width_0_height_0_subtile_7__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size8_2_sram[0:3]), + .sram_inv(mux_tree_tapbuf_size8_2_sram_inv[0:3]), + .out(chanx_left_out[8])); + + mux_tree_tapbuf_size8_mem mem_right_track_16 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size9_mem_1_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size8_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size8_0_sram[0:3]), + .mem_outb(mux_tree_tapbuf_size8_0_sram_inv[0:3])); + + mux_tree_tapbuf_size8_mem mem_left_track_9 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size10_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size8_mem_1_ccff_tail), + .mem_out(mux_tree_tapbuf_size8_1_sram[0:3]), + .mem_outb(mux_tree_tapbuf_size8_1_sram_inv[0:3])); + + mux_tree_tapbuf_size8_mem mem_left_track_17 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size8_mem_1_ccff_tail), + .ccff_tail(ccff_tail), + .mem_out(mux_tree_tapbuf_size8_2_sram[0:3]), + .mem_outb(mux_tree_tapbuf_size8_2_sram_inv[0:3])); + + mux_tree_tapbuf_size10 mux_left_track_1 ( + .in({chany_top_in[0], chany_top_in[3], chany_top_in[6], chany_top_in[9], chanx_right_in[0], chanx_right_in[4], chanx_right_in[8], left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_, left_bottom_grid_top_width_0_height_0_subtile_2__pin_inpad_0_, left_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size10_0_sram[0:3]), + .sram_inv(mux_tree_tapbuf_size10_0_sram_inv[0:3]), + .out(chanx_left_out[0])); + + mux_tree_tapbuf_size10_mem mem_left_track_1 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size8_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size10_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size10_0_sram[0:3]), + .mem_outb(mux_tree_tapbuf_size10_0_sram_inv[0:3])); + +endmodule +// ----- END Verilog module for sb_1__0_ ----- + +//----- Default net type ----- +`default_nettype none + + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/sb_1__1_.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/sb_1__1_.v new file mode 100644 index 000000000..18ec5d548 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/sb_1__1_.v @@ -0,0 +1,396 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Verilog modules for Unique Switch Blocks[1][1] +// Author: Xifan TANG +// Organization: University of Utah +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for sb_1__1_ ----- +module sb_1__1_(prog_clk, + chany_top_in, + top_left_grid_right_width_0_height_0_subtile_0__pin_O_3_, + top_right_grid_left_width_0_height_0_subtile_0__pin_O_1_, + chanx_right_in, + right_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_, + right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_, + chany_bottom_in, + bottom_right_grid_left_width_0_height_0_subtile_0__pin_O_1_, + bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_3_, + chanx_left_in, + left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_, + left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_, + ccff_head, + chany_top_out, + chanx_right_out, + chany_bottom_out, + chanx_left_out, + ccff_tail); +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- INPUT PORTS ----- +input [0:9] chany_top_in; +//----- INPUT PORTS ----- +input [0:0] top_left_grid_right_width_0_height_0_subtile_0__pin_O_3_; +//----- INPUT PORTS ----- +input [0:0] top_right_grid_left_width_0_height_0_subtile_0__pin_O_1_; +//----- INPUT PORTS ----- +input [0:9] chanx_right_in; +//----- INPUT PORTS ----- +input [0:0] right_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_; +//----- INPUT PORTS ----- +input [0:0] right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_; +//----- INPUT PORTS ----- +input [0:9] chany_bottom_in; +//----- INPUT PORTS ----- +input [0:0] bottom_right_grid_left_width_0_height_0_subtile_0__pin_O_1_; +//----- INPUT PORTS ----- +input [0:0] bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_3_; +//----- INPUT PORTS ----- +input [0:9] chanx_left_in; +//----- INPUT PORTS ----- +input [0:0] left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_; +//----- INPUT PORTS ----- +input [0:0] left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:9] chany_top_out; +//----- OUTPUT PORTS ----- +output [0:9] chanx_right_out; +//----- OUTPUT PORTS ----- +output [0:9] chany_bottom_out; +//----- OUTPUT PORTS ----- +output [0:9] chanx_left_out; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:3] mux_tree_tapbuf_size10_0_sram; +wire [0:3] mux_tree_tapbuf_size10_0_sram_inv; +wire [0:3] mux_tree_tapbuf_size10_1_sram; +wire [0:3] mux_tree_tapbuf_size10_1_sram_inv; +wire [0:3] mux_tree_tapbuf_size10_2_sram; +wire [0:3] mux_tree_tapbuf_size10_2_sram_inv; +wire [0:3] mux_tree_tapbuf_size10_3_sram; +wire [0:3] mux_tree_tapbuf_size10_3_sram_inv; +wire [0:0] mux_tree_tapbuf_size10_mem_0_ccff_tail; +wire [0:0] mux_tree_tapbuf_size10_mem_1_ccff_tail; +wire [0:0] mux_tree_tapbuf_size10_mem_2_ccff_tail; +wire [0:0] mux_tree_tapbuf_size10_mem_3_ccff_tail; +wire [0:3] mux_tree_tapbuf_size11_0_sram; +wire [0:3] mux_tree_tapbuf_size11_0_sram_inv; +wire [0:3] mux_tree_tapbuf_size11_1_sram; +wire [0:3] mux_tree_tapbuf_size11_1_sram_inv; +wire [0:3] mux_tree_tapbuf_size11_2_sram; +wire [0:3] mux_tree_tapbuf_size11_2_sram_inv; +wire [0:0] mux_tree_tapbuf_size11_mem_0_ccff_tail; +wire [0:0] mux_tree_tapbuf_size11_mem_1_ccff_tail; +wire [0:0] mux_tree_tapbuf_size11_mem_2_ccff_tail; +wire [0:3] mux_tree_tapbuf_size8_0_sram; +wire [0:3] mux_tree_tapbuf_size8_0_sram_inv; +wire [0:3] mux_tree_tapbuf_size8_1_sram; +wire [0:3] mux_tree_tapbuf_size8_1_sram_inv; +wire [0:0] mux_tree_tapbuf_size8_mem_0_ccff_tail; +wire [0:3] mux_tree_tapbuf_size9_0_sram; +wire [0:3] mux_tree_tapbuf_size9_0_sram_inv; +wire [0:3] mux_tree_tapbuf_size9_1_sram; +wire [0:3] mux_tree_tapbuf_size9_1_sram_inv; +wire [0:3] mux_tree_tapbuf_size9_2_sram; +wire [0:3] mux_tree_tapbuf_size9_2_sram_inv; +wire [0:0] mux_tree_tapbuf_size9_mem_0_ccff_tail; +wire [0:0] mux_tree_tapbuf_size9_mem_1_ccff_tail; +wire [0:0] mux_tree_tapbuf_size9_mem_2_ccff_tail; + +// ----- BEGIN Local short connections ----- +// ----- Local connection due to Wire 0 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 2 ----- + assign chany_bottom_out[1] = chany_top_in[0]; +// ----- Local connection due to Wire 1 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 1 ----- + assign chany_bottom_out[2] = chany_top_in[1]; +// ----- Local connection due to Wire 2 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 1 ----- + assign chany_bottom_out[3] = chany_top_in[2]; +// ----- Local connection due to Wire 4 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 2 ----- + assign chany_bottom_out[5] = chany_top_in[4]; +// ----- Local connection due to Wire 5 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 2 ----- + assign chany_bottom_out[6] = chany_top_in[5]; +// ----- Local connection due to Wire 6 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 1 ----- + assign chany_bottom_out[7] = chany_top_in[6]; +// ----- Local connection due to Wire 8 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 2 ----- + assign chany_bottom_out[9] = chany_top_in[8]; +// ----- Local connection due to Wire 12 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 3 ----- + assign chanx_left_out[1] = chanx_right_in[0]; +// ----- Local connection due to Wire 13 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 2 ----- + assign chanx_left_out[2] = chanx_right_in[1]; +// ----- Local connection due to Wire 14 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 2 ----- + assign chanx_left_out[3] = chanx_right_in[2]; +// ----- Local connection due to Wire 16 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 3 ----- + assign chanx_left_out[5] = chanx_right_in[4]; +// ----- Local connection due to Wire 17 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 3 ----- + assign chanx_left_out[6] = chanx_right_in[5]; +// ----- Local connection due to Wire 18 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 2 ----- + assign chanx_left_out[7] = chanx_right_in[6]; +// ----- Local connection due to Wire 20 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 3 ----- + assign chanx_left_out[9] = chanx_right_in[8]; +// ----- Local connection due to Wire 24 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 1 ----- + assign chany_top_out[1] = chany_bottom_in[0]; +// ----- Local connection due to Wire 25 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[2] = chany_bottom_in[1]; +// ----- Local connection due to Wire 26 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[3] = chany_bottom_in[2]; +// ----- Local connection due to Wire 28 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 1 ----- + assign chany_top_out[5] = chany_bottom_in[4]; +// ----- Local connection due to Wire 29 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 1 ----- + assign chany_top_out[6] = chany_bottom_in[5]; +// ----- Local connection due to Wire 30 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[7] = chany_bottom_in[6]; +// ----- Local connection due to Wire 32 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 1 ----- + assign chany_top_out[9] = chany_bottom_in[8]; +// ----- Local connection due to Wire 36 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 2 ----- + assign chanx_right_out[1] = chanx_left_in[0]; +// ----- Local connection due to Wire 37 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 1 ----- + assign chanx_right_out[2] = chanx_left_in[1]; +// ----- Local connection due to Wire 38 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 1 ----- + assign chanx_right_out[3] = chanx_left_in[2]; +// ----- Local connection due to Wire 40 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 2 ----- + assign chanx_right_out[5] = chanx_left_in[4]; +// ----- Local connection due to Wire 41 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 2 ----- + assign chanx_right_out[6] = chanx_left_in[5]; +// ----- Local connection due to Wire 42 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 1 ----- + assign chanx_right_out[7] = chanx_left_in[6]; +// ----- Local connection due to Wire 44 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 2 ----- + assign chanx_right_out[9] = chanx_left_in[8]; +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + mux_tree_tapbuf_size11 mux_top_track_0 ( + .in({top_left_grid_right_width_0_height_0_subtile_0__pin_O_3_, chanx_right_in[1], chanx_right_in[5], chanx_right_in[7], chany_bottom_in[0], chany_bottom_in[4], chany_bottom_in[8], chanx_left_in[0], chanx_left_in[3:4], chanx_left_in[8]}), + .sram(mux_tree_tapbuf_size11_0_sram[0:3]), + .sram_inv(mux_tree_tapbuf_size11_0_sram_inv[0:3]), + .out(chany_top_out[0])); + + mux_tree_tapbuf_size11 mux_right_track_8 ( + .in({chany_top_in[0], chany_top_in[3:4], chany_top_in[8], right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_, chany_bottom_in[0], chany_bottom_in[3:4], chany_bottom_in[8], chanx_left_in[1], chanx_left_in[5]}), + .sram(mux_tree_tapbuf_size11_1_sram[0:3]), + .sram_inv(mux_tree_tapbuf_size11_1_sram_inv[0:3]), + .out(chanx_right_out[4])); + + mux_tree_tapbuf_size11 mux_left_track_1 ( + .in({chany_top_in[0], chany_top_in[3:4], chany_top_in[8], chanx_right_in[0], chanx_right_in[4], chanx_right_in[8], chany_bottom_in[2], chany_bottom_in[6], chany_bottom_in[9], left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_}), + .sram(mux_tree_tapbuf_size11_2_sram[0:3]), + .sram_inv(mux_tree_tapbuf_size11_2_sram_inv[0:3]), + .out(chanx_left_out[0])); + + mux_tree_tapbuf_size11_mem mem_top_track_0 ( + .prog_clk(prog_clk), + .ccff_head(ccff_head), + .ccff_tail(mux_tree_tapbuf_size11_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size11_0_sram[0:3]), + .mem_outb(mux_tree_tapbuf_size11_0_sram_inv[0:3])); + + mux_tree_tapbuf_size11_mem mem_right_track_8 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size10_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size11_mem_1_ccff_tail), + .mem_out(mux_tree_tapbuf_size11_1_sram[0:3]), + .mem_outb(mux_tree_tapbuf_size11_1_sram_inv[0:3])); + + mux_tree_tapbuf_size11_mem mem_left_track_1 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size9_mem_2_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size11_mem_2_ccff_tail), + .mem_out(mux_tree_tapbuf_size11_2_sram[0:3]), + .mem_outb(mux_tree_tapbuf_size11_2_sram_inv[0:3])); + + mux_tree_tapbuf_size9 mux_top_track_8 ( + .in({top_right_grid_left_width_0_height_0_subtile_0__pin_O_1_, chanx_right_in[2], chanx_right_in[6], chanx_right_in[9], chany_bottom_in[1], chany_bottom_in[5], chanx_left_in[2], chanx_left_in[6], chanx_left_in[9]}), + .sram(mux_tree_tapbuf_size9_0_sram[0:3]), + .sram_inv(mux_tree_tapbuf_size9_0_sram_inv[0:3]), + .out(chany_top_out[4])); + + mux_tree_tapbuf_size9 mux_top_track_16 ( + .in({chanx_right_in[0], chanx_right_in[3:4], chanx_right_in[8], chany_bottom_in[2], chany_bottom_in[6], chanx_left_in[1], chanx_left_in[5], chanx_left_in[7]}), + .sram(mux_tree_tapbuf_size9_1_sram[0:3]), + .sram_inv(mux_tree_tapbuf_size9_1_sram_inv[0:3]), + .out(chany_top_out[8])); + + mux_tree_tapbuf_size9 mux_bottom_track_17 ( + .in({chany_top_in[2], chany_top_in[6], chanx_right_in[2], chanx_right_in[6], chanx_right_in[9], chanx_left_in[0], chanx_left_in[3:4], chanx_left_in[8]}), + .sram(mux_tree_tapbuf_size9_2_sram[0:3]), + .sram_inv(mux_tree_tapbuf_size9_2_sram_inv[0:3]), + .out(chany_bottom_out[8])); + + mux_tree_tapbuf_size9_mem mem_top_track_8 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size11_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size9_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size9_0_sram[0:3]), + .mem_outb(mux_tree_tapbuf_size9_0_sram_inv[0:3])); + + mux_tree_tapbuf_size9_mem mem_top_track_16 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size9_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size9_mem_1_ccff_tail), + .mem_out(mux_tree_tapbuf_size9_1_sram[0:3]), + .mem_outb(mux_tree_tapbuf_size9_1_sram_inv[0:3])); + + mux_tree_tapbuf_size9_mem mem_bottom_track_17 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size10_mem_2_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size9_mem_2_ccff_tail), + .mem_out(mux_tree_tapbuf_size9_2_sram[0:3]), + .mem_outb(mux_tree_tapbuf_size9_2_sram_inv[0:3])); + + mux_tree_tapbuf_size10 mux_right_track_0 ( + .in({chany_top_in[2], chany_top_in[6], chany_top_in[9], right_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_, chany_bottom_in[1], chany_bottom_in[5], chany_bottom_in[7], chanx_left_in[0], chanx_left_in[4], chanx_left_in[8]}), + .sram(mux_tree_tapbuf_size10_0_sram[0:3]), + .sram_inv(mux_tree_tapbuf_size10_0_sram_inv[0:3]), + .out(chanx_right_out[0])); + + mux_tree_tapbuf_size10 mux_bottom_track_1 ( + .in({chany_top_in[0], chany_top_in[4], chany_top_in[8], chanx_right_in[1], chanx_right_in[5], chanx_right_in[7], bottom_right_grid_left_width_0_height_0_subtile_0__pin_O_1_, chanx_left_in[1], chanx_left_in[5], chanx_left_in[7]}), + .sram(mux_tree_tapbuf_size10_1_sram[0:3]), + .sram_inv(mux_tree_tapbuf_size10_1_sram_inv[0:3]), + .out(chany_bottom_out[0])); + + mux_tree_tapbuf_size10 mux_bottom_track_9 ( + .in({chany_top_in[1], chany_top_in[5], chanx_right_in[0], chanx_right_in[3:4], chanx_right_in[8], bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_3_, chanx_left_in[2], chanx_left_in[6], chanx_left_in[9]}), + .sram(mux_tree_tapbuf_size10_2_sram[0:3]), + .sram_inv(mux_tree_tapbuf_size10_2_sram_inv[0:3]), + .out(chany_bottom_out[4])); + + mux_tree_tapbuf_size10 mux_left_track_9 ( + .in({chany_top_in[2], chany_top_in[6], chany_top_in[9], chanx_right_in[1], chanx_right_in[5], chany_bottom_in[0], chany_bottom_in[3:4], chany_bottom_in[8], left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_}), + .sram(mux_tree_tapbuf_size10_3_sram[0:3]), + .sram_inv(mux_tree_tapbuf_size10_3_sram_inv[0:3]), + .out(chanx_left_out[4])); + + mux_tree_tapbuf_size10_mem mem_right_track_0 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size9_mem_1_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size10_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size10_0_sram[0:3]), + .mem_outb(mux_tree_tapbuf_size10_0_sram_inv[0:3])); + + mux_tree_tapbuf_size10_mem mem_bottom_track_1 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size8_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size10_mem_1_ccff_tail), + .mem_out(mux_tree_tapbuf_size10_1_sram[0:3]), + .mem_outb(mux_tree_tapbuf_size10_1_sram_inv[0:3])); + + mux_tree_tapbuf_size10_mem mem_bottom_track_9 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size10_mem_1_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size10_mem_2_ccff_tail), + .mem_out(mux_tree_tapbuf_size10_2_sram[0:3]), + .mem_outb(mux_tree_tapbuf_size10_2_sram_inv[0:3])); + + mux_tree_tapbuf_size10_mem mem_left_track_9 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size11_mem_2_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size10_mem_3_ccff_tail), + .mem_out(mux_tree_tapbuf_size10_3_sram[0:3]), + .mem_outb(mux_tree_tapbuf_size10_3_sram_inv[0:3])); + + mux_tree_tapbuf_size8 mux_right_track_16 ( + .in({chany_top_in[1], chany_top_in[5], chany_top_in[7], chany_bottom_in[2], chany_bottom_in[6], chany_bottom_in[9], chanx_left_in[2], chanx_left_in[6]}), + .sram(mux_tree_tapbuf_size8_0_sram[0:3]), + .sram_inv(mux_tree_tapbuf_size8_0_sram_inv[0:3]), + .out(chanx_right_out[8])); + + mux_tree_tapbuf_size8 mux_left_track_17 ( + .in({chany_top_in[1], chany_top_in[5], chany_top_in[7], chanx_right_in[2], chanx_right_in[6], chany_bottom_in[1], chany_bottom_in[5], chany_bottom_in[7]}), + .sram(mux_tree_tapbuf_size8_1_sram[0:3]), + .sram_inv(mux_tree_tapbuf_size8_1_sram_inv[0:3]), + .out(chanx_left_out[8])); + + mux_tree_tapbuf_size8_mem mem_right_track_16 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size11_mem_1_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size8_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size8_0_sram[0:3]), + .mem_outb(mux_tree_tapbuf_size8_0_sram_inv[0:3])); + + mux_tree_tapbuf_size8_mem mem_left_track_17 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size10_mem_3_ccff_tail), + .ccff_tail(ccff_tail), + .mem_out(mux_tree_tapbuf_size8_1_sram[0:3]), + .mem_outb(mux_tree_tapbuf_size8_1_sram_inv[0:3])); + +endmodule +// ----- END Verilog module for sb_1__1_ ----- + +//----- Default net type ----- +`default_nettype none + + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/sb_1__4_.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/sb_1__4_.v new file mode 100644 index 000000000..e2204f210 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/sb_1__4_.v @@ -0,0 +1,434 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Verilog modules for Unique Switch Blocks[1][4] +// Author: Xifan TANG +// Organization: University of Utah +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for sb_1__4_ ----- +module sb_1__4_(prog_clk, + chanx_right_in, + right_top_grid_bottom_width_0_height_0_subtile_0__pin_inpad_0_, + right_top_grid_bottom_width_0_height_0_subtile_1__pin_inpad_0_, + right_top_grid_bottom_width_0_height_0_subtile_2__pin_inpad_0_, + right_top_grid_bottom_width_0_height_0_subtile_3__pin_inpad_0_, + right_top_grid_bottom_width_0_height_0_subtile_4__pin_inpad_0_, + right_top_grid_bottom_width_0_height_0_subtile_5__pin_inpad_0_, + right_top_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_, + right_top_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_, + right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_, + chany_bottom_in, + bottom_right_grid_left_width_0_height_0_subtile_0__pin_O_1_, + bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_3_, + chanx_left_in, + left_top_grid_bottom_width_0_height_0_subtile_0__pin_inpad_0_, + left_top_grid_bottom_width_0_height_0_subtile_1__pin_inpad_0_, + left_top_grid_bottom_width_0_height_0_subtile_2__pin_inpad_0_, + left_top_grid_bottom_width_0_height_0_subtile_3__pin_inpad_0_, + left_top_grid_bottom_width_0_height_0_subtile_4__pin_inpad_0_, + left_top_grid_bottom_width_0_height_0_subtile_5__pin_inpad_0_, + left_top_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_, + left_top_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_, + left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_, + ccff_head, + chanx_right_out, + chany_bottom_out, + chanx_left_out, + ccff_tail); +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- INPUT PORTS ----- +input [0:9] chanx_right_in; +//----- INPUT PORTS ----- +input [0:0] right_top_grid_bottom_width_0_height_0_subtile_0__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] right_top_grid_bottom_width_0_height_0_subtile_1__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] right_top_grid_bottom_width_0_height_0_subtile_2__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] right_top_grid_bottom_width_0_height_0_subtile_3__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] right_top_grid_bottom_width_0_height_0_subtile_4__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] right_top_grid_bottom_width_0_height_0_subtile_5__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] right_top_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] right_top_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_; +//----- INPUT PORTS ----- +input [0:9] chany_bottom_in; +//----- INPUT PORTS ----- +input [0:0] bottom_right_grid_left_width_0_height_0_subtile_0__pin_O_1_; +//----- INPUT PORTS ----- +input [0:0] bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_3_; +//----- INPUT PORTS ----- +input [0:9] chanx_left_in; +//----- INPUT PORTS ----- +input [0:0] left_top_grid_bottom_width_0_height_0_subtile_0__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_top_grid_bottom_width_0_height_0_subtile_1__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_top_grid_bottom_width_0_height_0_subtile_2__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_top_grid_bottom_width_0_height_0_subtile_3__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_top_grid_bottom_width_0_height_0_subtile_4__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_top_grid_bottom_width_0_height_0_subtile_5__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_top_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_top_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:9] chanx_right_out; +//----- OUTPUT PORTS ----- +output [0:9] chany_bottom_out; +//----- OUTPUT PORTS ----- +output [0:9] chanx_left_out; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:1] mux_tree_tapbuf_size2_0_sram; +wire [0:1] mux_tree_tapbuf_size2_0_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_1_sram; +wire [0:1] mux_tree_tapbuf_size2_1_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_2_sram; +wire [0:1] mux_tree_tapbuf_size2_2_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_3_sram; +wire [0:1] mux_tree_tapbuf_size2_3_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_4_sram; +wire [0:1] mux_tree_tapbuf_size2_4_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_5_sram; +wire [0:1] mux_tree_tapbuf_size2_5_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_6_sram; +wire [0:1] mux_tree_tapbuf_size2_6_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_7_sram; +wire [0:1] mux_tree_tapbuf_size2_7_sram_inv; +wire [0:0] mux_tree_tapbuf_size2_mem_0_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_1_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_2_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_3_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_4_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_5_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_6_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_7_ccff_tail; +wire [0:1] mux_tree_tapbuf_size3_0_sram; +wire [0:1] mux_tree_tapbuf_size3_0_sram_inv; +wire [0:1] mux_tree_tapbuf_size3_1_sram; +wire [0:1] mux_tree_tapbuf_size3_1_sram_inv; +wire [0:0] mux_tree_tapbuf_size3_mem_0_ccff_tail; +wire [0:0] mux_tree_tapbuf_size3_mem_1_ccff_tail; +wire [0:3] mux_tree_tapbuf_size8_0_sram; +wire [0:3] mux_tree_tapbuf_size8_0_sram_inv; +wire [0:3] mux_tree_tapbuf_size8_1_sram; +wire [0:3] mux_tree_tapbuf_size8_1_sram_inv; +wire [0:0] mux_tree_tapbuf_size8_mem_0_ccff_tail; +wire [0:3] mux_tree_tapbuf_size9_0_sram; +wire [0:3] mux_tree_tapbuf_size9_0_sram_inv; +wire [0:3] mux_tree_tapbuf_size9_1_sram; +wire [0:3] mux_tree_tapbuf_size9_1_sram_inv; +wire [0:3] mux_tree_tapbuf_size9_2_sram; +wire [0:3] mux_tree_tapbuf_size9_2_sram_inv; +wire [0:3] mux_tree_tapbuf_size9_3_sram; +wire [0:3] mux_tree_tapbuf_size9_3_sram_inv; +wire [0:0] mux_tree_tapbuf_size9_mem_0_ccff_tail; +wire [0:0] mux_tree_tapbuf_size9_mem_1_ccff_tail; +wire [0:0] mux_tree_tapbuf_size9_mem_2_ccff_tail; +wire [0:0] mux_tree_tapbuf_size9_mem_3_ccff_tail; + +// ----- BEGIN Local short connections ----- +// ----- Local connection due to Wire 0 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 2 ----- + assign chanx_left_out[1] = chanx_right_in[0]; +// ----- Local connection due to Wire 1 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 1 ----- + assign chanx_left_out[2] = chanx_right_in[1]; +// ----- Local connection due to Wire 2 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 1 ----- + assign chanx_left_out[3] = chanx_right_in[2]; +// ----- Local connection due to Wire 4 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 2 ----- + assign chanx_left_out[5] = chanx_right_in[4]; +// ----- Local connection due to Wire 5 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 2 ----- + assign chanx_left_out[6] = chanx_right_in[5]; +// ----- Local connection due to Wire 6 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 1 ----- + assign chanx_left_out[7] = chanx_right_in[6]; +// ----- Local connection due to Wire 8 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 2 ----- + assign chanx_left_out[9] = chanx_right_in[8]; +// ----- Local connection due to Wire 31 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 1 ----- + assign chanx_right_out[1] = chanx_left_in[0]; +// ----- Local connection due to Wire 32 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[2] = chanx_left_in[1]; +// ----- Local connection due to Wire 33 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[3] = chanx_left_in[2]; +// ----- Local connection due to Wire 35 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 1 ----- + assign chanx_right_out[5] = chanx_left_in[4]; +// ----- Local connection due to Wire 36 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 1 ----- + assign chanx_right_out[6] = chanx_left_in[5]; +// ----- Local connection due to Wire 37 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_right_out[7] = chanx_left_in[6]; +// ----- Local connection due to Wire 39 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 1 ----- + assign chanx_right_out[9] = chanx_left_in[8]; +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + mux_tree_tapbuf_size9 mux_right_track_0 ( + .in({right_top_grid_bottom_width_0_height_0_subtile_0__pin_inpad_0_, right_top_grid_bottom_width_0_height_0_subtile_3__pin_inpad_0_, right_top_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_, chany_bottom_in[1], chany_bottom_in[4], chany_bottom_in[7], chanx_left_in[0], chanx_left_in[4], chanx_left_in[8]}), + .sram(mux_tree_tapbuf_size9_0_sram[0:3]), + .sram_inv(mux_tree_tapbuf_size9_0_sram_inv[0:3]), + .out(chanx_right_out[0])); + + mux_tree_tapbuf_size9 mux_right_track_8 ( + .in({right_top_grid_bottom_width_0_height_0_subtile_1__pin_inpad_0_, right_top_grid_bottom_width_0_height_0_subtile_4__pin_inpad_0_, right_top_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_, chany_bottom_in[0], chany_bottom_in[3], chany_bottom_in[6], chany_bottom_in[9], chanx_left_in[1], chanx_left_in[5]}), + .sram(mux_tree_tapbuf_size9_1_sram[0:3]), + .sram_inv(mux_tree_tapbuf_size9_1_sram_inv[0:3]), + .out(chanx_right_out[4])); + + mux_tree_tapbuf_size9 mux_left_track_1 ( + .in({chanx_right_in[0], chanx_right_in[4], chanx_right_in[8], chany_bottom_in[2], chany_bottom_in[5], chany_bottom_in[8], left_top_grid_bottom_width_0_height_0_subtile_0__pin_inpad_0_, left_top_grid_bottom_width_0_height_0_subtile_3__pin_inpad_0_, left_top_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size9_2_sram[0:3]), + .sram_inv(mux_tree_tapbuf_size9_2_sram_inv[0:3]), + .out(chanx_left_out[0])); + + mux_tree_tapbuf_size9 mux_left_track_9 ( + .in({chanx_right_in[1], chanx_right_in[5], chany_bottom_in[0], chany_bottom_in[3], chany_bottom_in[6], chany_bottom_in[9], left_top_grid_bottom_width_0_height_0_subtile_1__pin_inpad_0_, left_top_grid_bottom_width_0_height_0_subtile_4__pin_inpad_0_, left_top_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size9_3_sram[0:3]), + .sram_inv(mux_tree_tapbuf_size9_3_sram_inv[0:3]), + .out(chanx_left_out[4])); + + mux_tree_tapbuf_size9_mem mem_right_track_0 ( + .prog_clk(prog_clk), + .ccff_head(ccff_head), + .ccff_tail(mux_tree_tapbuf_size9_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size9_0_sram[0:3]), + .mem_outb(mux_tree_tapbuf_size9_0_sram_inv[0:3])); + + mux_tree_tapbuf_size9_mem mem_right_track_8 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size9_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size9_mem_1_ccff_tail), + .mem_out(mux_tree_tapbuf_size9_1_sram[0:3]), + .mem_outb(mux_tree_tapbuf_size9_1_sram_inv[0:3])); + + mux_tree_tapbuf_size9_mem mem_left_track_1 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_7_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size9_mem_2_ccff_tail), + .mem_out(mux_tree_tapbuf_size9_2_sram[0:3]), + .mem_outb(mux_tree_tapbuf_size9_2_sram_inv[0:3])); + + mux_tree_tapbuf_size9_mem mem_left_track_9 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size9_mem_2_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size9_mem_3_ccff_tail), + .mem_out(mux_tree_tapbuf_size9_3_sram[0:3]), + .mem_outb(mux_tree_tapbuf_size9_3_sram_inv[0:3])); + + mux_tree_tapbuf_size8 mux_right_track_16 ( + .in({right_top_grid_bottom_width_0_height_0_subtile_2__pin_inpad_0_, right_top_grid_bottom_width_0_height_0_subtile_5__pin_inpad_0_, right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_, chany_bottom_in[2], chany_bottom_in[5], chany_bottom_in[8], chanx_left_in[2], chanx_left_in[6]}), + .sram(mux_tree_tapbuf_size8_0_sram[0:3]), + .sram_inv(mux_tree_tapbuf_size8_0_sram_inv[0:3]), + .out(chanx_right_out[8])); + + mux_tree_tapbuf_size8 mux_left_track_17 ( + .in({chanx_right_in[2], chanx_right_in[6], chany_bottom_in[1], chany_bottom_in[4], chany_bottom_in[7], left_top_grid_bottom_width_0_height_0_subtile_2__pin_inpad_0_, left_top_grid_bottom_width_0_height_0_subtile_5__pin_inpad_0_, left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_}), + .sram(mux_tree_tapbuf_size8_1_sram[0:3]), + .sram_inv(mux_tree_tapbuf_size8_1_sram_inv[0:3]), + .out(chanx_left_out[8])); + + mux_tree_tapbuf_size8_mem mem_right_track_16 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size9_mem_1_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size8_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size8_0_sram[0:3]), + .mem_outb(mux_tree_tapbuf_size8_0_sram_inv[0:3])); + + mux_tree_tapbuf_size8_mem mem_left_track_17 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size9_mem_3_ccff_tail), + .ccff_tail(ccff_tail), + .mem_out(mux_tree_tapbuf_size8_1_sram[0:3]), + .mem_outb(mux_tree_tapbuf_size8_1_sram_inv[0:3])); + + mux_tree_tapbuf_size3 mux_bottom_track_1 ( + .in({bottom_right_grid_left_width_0_height_0_subtile_0__pin_O_1_, chanx_left_in[1], chanx_left_in[7]}), + .sram(mux_tree_tapbuf_size3_0_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size3_0_sram_inv[0:1]), + .out(chany_bottom_out[0])); + + mux_tree_tapbuf_size3 mux_bottom_track_3 ( + .in({bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_3_, chanx_left_in[2], chanx_left_in[9]}), + .sram(mux_tree_tapbuf_size3_1_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size3_1_sram_inv[0:1]), + .out(chany_bottom_out[1])); + + mux_tree_tapbuf_size3_mem mem_bottom_track_1 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size8_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size3_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size3_0_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size3_0_sram_inv[0:1])); + + mux_tree_tapbuf_size3_mem mem_bottom_track_3 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size3_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size3_mem_1_ccff_tail), + .mem_out(mux_tree_tapbuf_size3_1_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size3_1_sram_inv[0:1])); + + mux_tree_tapbuf_size2 mux_bottom_track_5 ( + .in({chanx_right_in[8], chanx_left_in[4]}), + .sram(mux_tree_tapbuf_size2_0_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_0_sram_inv[0:1]), + .out(chany_bottom_out[2])); + + mux_tree_tapbuf_size2 mux_bottom_track_7 ( + .in({chanx_right_in[6], chanx_left_in[5]}), + .sram(mux_tree_tapbuf_size2_1_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_1_sram_inv[0:1]), + .out(chany_bottom_out[3])); + + mux_tree_tapbuf_size2 mux_bottom_track_9 ( + .in({chanx_right_in[5], chanx_left_in[6]}), + .sram(mux_tree_tapbuf_size2_2_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_2_sram_inv[0:1]), + .out(chany_bottom_out[4])); + + mux_tree_tapbuf_size2 mux_bottom_track_11 ( + .in({chanx_right_in[4], chanx_left_in[8]}), + .sram(mux_tree_tapbuf_size2_3_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_3_sram_inv[0:1]), + .out(chany_bottom_out[5])); + + mux_tree_tapbuf_size2 mux_bottom_track_13 ( + .in({chanx_right_in[2], chanx_right_in[9]}), + .sram(mux_tree_tapbuf_size2_4_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_4_sram_inv[0:1]), + .out(chany_bottom_out[6])); + + mux_tree_tapbuf_size2 mux_bottom_track_15 ( + .in({chanx_right_in[1], chanx_right_in[7]}), + .sram(mux_tree_tapbuf_size2_5_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_5_sram_inv[0:1]), + .out(chany_bottom_out[7])); + + mux_tree_tapbuf_size2 mux_bottom_track_17 ( + .in({chanx_right_in[0], chanx_right_in[3]}), + .sram(mux_tree_tapbuf_size2_6_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_6_sram_inv[0:1]), + .out(chany_bottom_out[8])); + + mux_tree_tapbuf_size2 mux_bottom_track_19 ( + .in({chanx_left_in[0], chanx_left_in[3]}), + .sram(mux_tree_tapbuf_size2_7_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_7_sram_inv[0:1]), + .out(chany_bottom_out[9])); + + mux_tree_tapbuf_size2_mem mem_bottom_track_5 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size3_mem_1_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_0_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_0_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_bottom_track_7 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_1_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_1_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_1_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_bottom_track_9 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_1_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_2_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_2_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_2_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_bottom_track_11 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_2_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_3_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_3_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_3_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_bottom_track_13 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_3_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_4_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_4_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_4_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_bottom_track_15 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_4_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_5_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_5_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_5_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_bottom_track_17 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_5_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_6_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_6_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_6_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_bottom_track_19 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_6_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_7_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_7_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_7_sram_inv[0:1])); + +endmodule +// ----- END Verilog module for sb_1__4_ ----- + +//----- Default net type ----- +`default_nettype none + + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/sb_4__0_.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/sb_4__0_.v new file mode 100644 index 000000000..0cfe9fe45 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/sb_4__0_.v @@ -0,0 +1,406 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Verilog modules for Unique Switch Blocks[4][0] +// Author: Xifan TANG +// Organization: University of Utah +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for sb_4__0_ ----- +module sb_4__0_(prog_clk, + chany_top_in, + top_left_grid_right_width_0_height_0_subtile_0__pin_O_3_, + top_right_grid_left_width_0_height_0_subtile_0__pin_inpad_0_, + top_right_grid_left_width_0_height_0_subtile_1__pin_inpad_0_, + top_right_grid_left_width_0_height_0_subtile_2__pin_inpad_0_, + top_right_grid_left_width_0_height_0_subtile_3__pin_inpad_0_, + top_right_grid_left_width_0_height_0_subtile_4__pin_inpad_0_, + top_right_grid_left_width_0_height_0_subtile_5__pin_inpad_0_, + top_right_grid_left_width_0_height_0_subtile_6__pin_inpad_0_, + top_right_grid_left_width_0_height_0_subtile_7__pin_inpad_0_, + chanx_left_in, + left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_, + left_bottom_grid_top_width_0_height_0_subtile_0__pin_inpad_0_, + left_bottom_grid_top_width_0_height_0_subtile_1__pin_inpad_0_, + left_bottom_grid_top_width_0_height_0_subtile_2__pin_inpad_0_, + left_bottom_grid_top_width_0_height_0_subtile_3__pin_inpad_0_, + left_bottom_grid_top_width_0_height_0_subtile_4__pin_inpad_0_, + left_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_, + left_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_, + left_bottom_grid_top_width_0_height_0_subtile_7__pin_inpad_0_, + ccff_head, + chany_top_out, + chanx_left_out, + ccff_tail); +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- INPUT PORTS ----- +input [0:9] chany_top_in; +//----- INPUT PORTS ----- +input [0:0] top_left_grid_right_width_0_height_0_subtile_0__pin_O_3_; +//----- INPUT PORTS ----- +input [0:0] top_right_grid_left_width_0_height_0_subtile_0__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] top_right_grid_left_width_0_height_0_subtile_1__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] top_right_grid_left_width_0_height_0_subtile_2__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] top_right_grid_left_width_0_height_0_subtile_3__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] top_right_grid_left_width_0_height_0_subtile_4__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] top_right_grid_left_width_0_height_0_subtile_5__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] top_right_grid_left_width_0_height_0_subtile_6__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] top_right_grid_left_width_0_height_0_subtile_7__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:9] chanx_left_in; +//----- INPUT PORTS ----- +input [0:0] left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_; +//----- INPUT PORTS ----- +input [0:0] left_bottom_grid_top_width_0_height_0_subtile_0__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_bottom_grid_top_width_0_height_0_subtile_1__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_bottom_grid_top_width_0_height_0_subtile_2__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_bottom_grid_top_width_0_height_0_subtile_3__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_bottom_grid_top_width_0_height_0_subtile_4__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_bottom_grid_top_width_0_height_0_subtile_7__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:9] chany_top_out; +//----- OUTPUT PORTS ----- +output [0:9] chanx_left_out; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:1] mux_tree_tapbuf_size2_0_sram; +wire [0:1] mux_tree_tapbuf_size2_0_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_10_sram; +wire [0:1] mux_tree_tapbuf_size2_10_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_11_sram; +wire [0:1] mux_tree_tapbuf_size2_11_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_12_sram; +wire [0:1] mux_tree_tapbuf_size2_12_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_13_sram; +wire [0:1] mux_tree_tapbuf_size2_13_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_14_sram; +wire [0:1] mux_tree_tapbuf_size2_14_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_15_sram; +wire [0:1] mux_tree_tapbuf_size2_15_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_16_sram; +wire [0:1] mux_tree_tapbuf_size2_16_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_17_sram; +wire [0:1] mux_tree_tapbuf_size2_17_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_1_sram; +wire [0:1] mux_tree_tapbuf_size2_1_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_2_sram; +wire [0:1] mux_tree_tapbuf_size2_2_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_3_sram; +wire [0:1] mux_tree_tapbuf_size2_3_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_4_sram; +wire [0:1] mux_tree_tapbuf_size2_4_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_5_sram; +wire [0:1] mux_tree_tapbuf_size2_5_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_6_sram; +wire [0:1] mux_tree_tapbuf_size2_6_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_7_sram; +wire [0:1] mux_tree_tapbuf_size2_7_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_8_sram; +wire [0:1] mux_tree_tapbuf_size2_8_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_9_sram; +wire [0:1] mux_tree_tapbuf_size2_9_sram_inv; +wire [0:0] mux_tree_tapbuf_size2_mem_0_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_10_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_11_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_12_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_13_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_14_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_15_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_16_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_1_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_2_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_3_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_4_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_5_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_6_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_7_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_8_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_9_ccff_tail; + +// ----- BEGIN Local short connections ----- +// ----- Local connection due to Wire 1 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_left_out[9] = chany_top_in[1]; +// ----- Local connection due to Wire 20 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[9] = chanx_left_in[1]; +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + mux_tree_tapbuf_size2 mux_top_track_0 ( + .in({top_left_grid_right_width_0_height_0_subtile_0__pin_O_3_, chanx_left_in[0]}), + .sram(mux_tree_tapbuf_size2_0_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_0_sram_inv[0:1]), + .out(chany_top_out[0])); + + mux_tree_tapbuf_size2 mux_top_track_2 ( + .in({top_right_grid_left_width_0_height_0_subtile_0__pin_inpad_0_, chanx_left_in[9]}), + .sram(mux_tree_tapbuf_size2_1_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_1_sram_inv[0:1]), + .out(chany_top_out[1])); + + mux_tree_tapbuf_size2 mux_top_track_4 ( + .in({top_right_grid_left_width_0_height_0_subtile_1__pin_inpad_0_, chanx_left_in[8]}), + .sram(mux_tree_tapbuf_size2_2_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_2_sram_inv[0:1]), + .out(chany_top_out[2])); + + mux_tree_tapbuf_size2 mux_top_track_6 ( + .in({top_right_grid_left_width_0_height_0_subtile_2__pin_inpad_0_, chanx_left_in[7]}), + .sram(mux_tree_tapbuf_size2_3_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_3_sram_inv[0:1]), + .out(chany_top_out[3])); + + mux_tree_tapbuf_size2 mux_top_track_8 ( + .in({top_right_grid_left_width_0_height_0_subtile_3__pin_inpad_0_, chanx_left_in[6]}), + .sram(mux_tree_tapbuf_size2_4_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_4_sram_inv[0:1]), + .out(chany_top_out[4])); + + mux_tree_tapbuf_size2 mux_top_track_10 ( + .in({top_right_grid_left_width_0_height_0_subtile_4__pin_inpad_0_, chanx_left_in[5]}), + .sram(mux_tree_tapbuf_size2_5_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_5_sram_inv[0:1]), + .out(chany_top_out[5])); + + mux_tree_tapbuf_size2 mux_top_track_12 ( + .in({top_right_grid_left_width_0_height_0_subtile_5__pin_inpad_0_, chanx_left_in[4]}), + .sram(mux_tree_tapbuf_size2_6_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_6_sram_inv[0:1]), + .out(chany_top_out[6])); + + mux_tree_tapbuf_size2 mux_top_track_14 ( + .in({top_right_grid_left_width_0_height_0_subtile_6__pin_inpad_0_, chanx_left_in[3]}), + .sram(mux_tree_tapbuf_size2_7_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_7_sram_inv[0:1]), + .out(chany_top_out[7])); + + mux_tree_tapbuf_size2 mux_top_track_16 ( + .in({top_right_grid_left_width_0_height_0_subtile_7__pin_inpad_0_, chanx_left_in[2]}), + .sram(mux_tree_tapbuf_size2_8_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_8_sram_inv[0:1]), + .out(chany_top_out[8])); + + mux_tree_tapbuf_size2 mux_left_track_1 ( + .in({chany_top_in[0], left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_}), + .sram(mux_tree_tapbuf_size2_9_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_9_sram_inv[0:1]), + .out(chanx_left_out[0])); + + mux_tree_tapbuf_size2 mux_left_track_3 ( + .in({chany_top_in[9], left_bottom_grid_top_width_0_height_0_subtile_0__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size2_10_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_10_sram_inv[0:1]), + .out(chanx_left_out[1])); + + mux_tree_tapbuf_size2 mux_left_track_5 ( + .in({chany_top_in[8], left_bottom_grid_top_width_0_height_0_subtile_1__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size2_11_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_11_sram_inv[0:1]), + .out(chanx_left_out[2])); + + mux_tree_tapbuf_size2 mux_left_track_7 ( + .in({chany_top_in[7], left_bottom_grid_top_width_0_height_0_subtile_2__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size2_12_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_12_sram_inv[0:1]), + .out(chanx_left_out[3])); + + mux_tree_tapbuf_size2 mux_left_track_9 ( + .in({chany_top_in[6], left_bottom_grid_top_width_0_height_0_subtile_3__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size2_13_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_13_sram_inv[0:1]), + .out(chanx_left_out[4])); + + mux_tree_tapbuf_size2 mux_left_track_11 ( + .in({chany_top_in[5], left_bottom_grid_top_width_0_height_0_subtile_4__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size2_14_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_14_sram_inv[0:1]), + .out(chanx_left_out[5])); + + mux_tree_tapbuf_size2 mux_left_track_13 ( + .in({chany_top_in[4], left_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size2_15_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_15_sram_inv[0:1]), + .out(chanx_left_out[6])); + + mux_tree_tapbuf_size2 mux_left_track_15 ( + .in({chany_top_in[3], left_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size2_16_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_16_sram_inv[0:1]), + .out(chanx_left_out[7])); + + mux_tree_tapbuf_size2 mux_left_track_17 ( + .in({chany_top_in[2], left_bottom_grid_top_width_0_height_0_subtile_7__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size2_17_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_17_sram_inv[0:1]), + .out(chanx_left_out[8])); + + mux_tree_tapbuf_size2_mem mem_top_track_0 ( + .prog_clk(prog_clk), + .ccff_head(ccff_head), + .ccff_tail(mux_tree_tapbuf_size2_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_0_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_0_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_top_track_2 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_1_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_1_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_1_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_top_track_4 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_1_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_2_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_2_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_2_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_top_track_6 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_2_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_3_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_3_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_3_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_top_track_8 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_3_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_4_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_4_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_4_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_top_track_10 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_4_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_5_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_5_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_5_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_top_track_12 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_5_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_6_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_6_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_6_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_top_track_14 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_6_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_7_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_7_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_7_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_top_track_16 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_7_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_8_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_8_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_8_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_left_track_1 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_8_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_9_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_9_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_9_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_left_track_3 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_9_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_10_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_10_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_10_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_left_track_5 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_10_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_11_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_11_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_11_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_left_track_7 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_11_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_12_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_12_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_12_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_left_track_9 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_12_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_13_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_13_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_13_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_left_track_11 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_13_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_14_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_14_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_14_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_left_track_13 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_14_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_15_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_15_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_15_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_left_track_15 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_15_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_16_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_16_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_16_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_left_track_17 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_16_ccff_tail), + .ccff_tail(ccff_tail), + .mem_out(mux_tree_tapbuf_size2_17_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_17_sram_inv[0:1])); + +endmodule +// ----- END Verilog module for sb_4__0_ ----- + +//----- Default net type ----- +`default_nettype none + + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/sb_4__1_.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/sb_4__1_.v new file mode 100644 index 000000000..8b646b699 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/sb_4__1_.v @@ -0,0 +1,434 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Verilog modules for Unique Switch Blocks[4][1] +// Author: Xifan TANG +// Organization: University of Utah +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for sb_4__1_ ----- +module sb_4__1_(prog_clk, + chany_top_in, + top_left_grid_right_width_0_height_0_subtile_0__pin_O_3_, + top_right_grid_left_width_0_height_0_subtile_0__pin_inpad_0_, + top_right_grid_left_width_0_height_0_subtile_1__pin_inpad_0_, + top_right_grid_left_width_0_height_0_subtile_2__pin_inpad_0_, + top_right_grid_left_width_0_height_0_subtile_3__pin_inpad_0_, + top_right_grid_left_width_0_height_0_subtile_4__pin_inpad_0_, + top_right_grid_left_width_0_height_0_subtile_5__pin_inpad_0_, + top_right_grid_left_width_0_height_0_subtile_6__pin_inpad_0_, + top_right_grid_left_width_0_height_0_subtile_7__pin_inpad_0_, + chany_bottom_in, + bottom_right_grid_left_width_0_height_0_subtile_0__pin_inpad_0_, + bottom_right_grid_left_width_0_height_0_subtile_1__pin_inpad_0_, + bottom_right_grid_left_width_0_height_0_subtile_2__pin_inpad_0_, + bottom_right_grid_left_width_0_height_0_subtile_3__pin_inpad_0_, + bottom_right_grid_left_width_0_height_0_subtile_4__pin_inpad_0_, + bottom_right_grid_left_width_0_height_0_subtile_5__pin_inpad_0_, + bottom_right_grid_left_width_0_height_0_subtile_6__pin_inpad_0_, + bottom_right_grid_left_width_0_height_0_subtile_7__pin_inpad_0_, + bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_3_, + chanx_left_in, + left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_, + left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_, + ccff_head, + chany_top_out, + chany_bottom_out, + chanx_left_out, + ccff_tail); +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- INPUT PORTS ----- +input [0:9] chany_top_in; +//----- INPUT PORTS ----- +input [0:0] top_left_grid_right_width_0_height_0_subtile_0__pin_O_3_; +//----- INPUT PORTS ----- +input [0:0] top_right_grid_left_width_0_height_0_subtile_0__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] top_right_grid_left_width_0_height_0_subtile_1__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] top_right_grid_left_width_0_height_0_subtile_2__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] top_right_grid_left_width_0_height_0_subtile_3__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] top_right_grid_left_width_0_height_0_subtile_4__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] top_right_grid_left_width_0_height_0_subtile_5__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] top_right_grid_left_width_0_height_0_subtile_6__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] top_right_grid_left_width_0_height_0_subtile_7__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:9] chany_bottom_in; +//----- INPUT PORTS ----- +input [0:0] bottom_right_grid_left_width_0_height_0_subtile_0__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] bottom_right_grid_left_width_0_height_0_subtile_1__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] bottom_right_grid_left_width_0_height_0_subtile_2__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] bottom_right_grid_left_width_0_height_0_subtile_3__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] bottom_right_grid_left_width_0_height_0_subtile_4__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] bottom_right_grid_left_width_0_height_0_subtile_5__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] bottom_right_grid_left_width_0_height_0_subtile_6__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] bottom_right_grid_left_width_0_height_0_subtile_7__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_3_; +//----- INPUT PORTS ----- +input [0:9] chanx_left_in; +//----- INPUT PORTS ----- +input [0:0] left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_; +//----- INPUT PORTS ----- +input [0:0] left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:9] chany_top_out; +//----- OUTPUT PORTS ----- +output [0:9] chany_bottom_out; +//----- OUTPUT PORTS ----- +output [0:9] chanx_left_out; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:3] mux_tree_tapbuf_size10_0_sram; +wire [0:3] mux_tree_tapbuf_size10_0_sram_inv; +wire [0:0] mux_tree_tapbuf_size10_mem_0_ccff_tail; +wire [0:1] mux_tree_tapbuf_size2_0_sram; +wire [0:1] mux_tree_tapbuf_size2_0_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_1_sram; +wire [0:1] mux_tree_tapbuf_size2_1_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_2_sram; +wire [0:1] mux_tree_tapbuf_size2_2_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_3_sram; +wire [0:1] mux_tree_tapbuf_size2_3_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_4_sram; +wire [0:1] mux_tree_tapbuf_size2_4_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_5_sram; +wire [0:1] mux_tree_tapbuf_size2_5_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_6_sram; +wire [0:1] mux_tree_tapbuf_size2_6_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_7_sram; +wire [0:1] mux_tree_tapbuf_size2_7_sram_inv; +wire [0:0] mux_tree_tapbuf_size2_mem_0_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_1_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_2_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_3_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_4_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_5_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_6_ccff_tail; +wire [0:1] mux_tree_tapbuf_size3_0_sram; +wire [0:1] mux_tree_tapbuf_size3_0_sram_inv; +wire [0:1] mux_tree_tapbuf_size3_1_sram; +wire [0:1] mux_tree_tapbuf_size3_1_sram_inv; +wire [0:0] mux_tree_tapbuf_size3_mem_0_ccff_tail; +wire [0:0] mux_tree_tapbuf_size3_mem_1_ccff_tail; +wire [0:3] mux_tree_tapbuf_size8_0_sram; +wire [0:3] mux_tree_tapbuf_size8_0_sram_inv; +wire [0:3] mux_tree_tapbuf_size8_1_sram; +wire [0:3] mux_tree_tapbuf_size8_1_sram_inv; +wire [0:3] mux_tree_tapbuf_size8_2_sram; +wire [0:3] mux_tree_tapbuf_size8_2_sram_inv; +wire [0:0] mux_tree_tapbuf_size8_mem_0_ccff_tail; +wire [0:0] mux_tree_tapbuf_size8_mem_1_ccff_tail; +wire [0:0] mux_tree_tapbuf_size8_mem_2_ccff_tail; +wire [0:3] mux_tree_tapbuf_size9_0_sram; +wire [0:3] mux_tree_tapbuf_size9_0_sram_inv; +wire [0:3] mux_tree_tapbuf_size9_1_sram; +wire [0:3] mux_tree_tapbuf_size9_1_sram_inv; +wire [0:0] mux_tree_tapbuf_size9_mem_0_ccff_tail; +wire [0:0] mux_tree_tapbuf_size9_mem_1_ccff_tail; + +// ----- BEGIN Local short connections ----- +// ----- Local connection due to Wire 0 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 1 ----- + assign chany_bottom_out[1] = chany_top_in[0]; +// ----- Local connection due to Wire 1 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[2] = chany_top_in[1]; +// ----- Local connection due to Wire 2 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[3] = chany_top_in[2]; +// ----- Local connection due to Wire 4 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 1 ----- + assign chany_bottom_out[5] = chany_top_in[4]; +// ----- Local connection due to Wire 5 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 1 ----- + assign chany_bottom_out[6] = chany_top_in[5]; +// ----- Local connection due to Wire 6 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[7] = chany_top_in[6]; +// ----- Local connection due to Wire 8 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 1 ----- + assign chany_bottom_out[9] = chany_top_in[8]; +// ----- Local connection due to Wire 19 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 1 ----- + assign chany_top_out[1] = chany_bottom_in[0]; +// ----- Local connection due to Wire 20 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[2] = chany_bottom_in[1]; +// ----- Local connection due to Wire 21 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[3] = chany_bottom_in[2]; +// ----- Local connection due to Wire 23 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 1 ----- + assign chany_top_out[5] = chany_bottom_in[4]; +// ----- Local connection due to Wire 24 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 1 ----- + assign chany_top_out[6] = chany_bottom_in[5]; +// ----- Local connection due to Wire 25 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_top_out[7] = chany_bottom_in[6]; +// ----- Local connection due to Wire 27 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 1 ----- + assign chany_top_out[9] = chany_bottom_in[8]; +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + mux_tree_tapbuf_size10 mux_top_track_0 ( + .in({top_left_grid_right_width_0_height_0_subtile_0__pin_O_3_, top_right_grid_left_width_0_height_0_subtile_2__pin_inpad_0_, top_right_grid_left_width_0_height_0_subtile_5__pin_inpad_0_, chany_bottom_in[0], chany_bottom_in[4], chany_bottom_in[8], chanx_left_in[0], chanx_left_in[3], chanx_left_in[6], chanx_left_in[9]}), + .sram(mux_tree_tapbuf_size10_0_sram[0:3]), + .sram_inv(mux_tree_tapbuf_size10_0_sram_inv[0:3]), + .out(chany_top_out[0])); + + mux_tree_tapbuf_size10_mem mem_top_track_0 ( + .prog_clk(prog_clk), + .ccff_head(ccff_head), + .ccff_tail(mux_tree_tapbuf_size10_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size10_0_sram[0:3]), + .mem_outb(mux_tree_tapbuf_size10_0_sram_inv[0:3])); + + mux_tree_tapbuf_size8 mux_top_track_8 ( + .in({top_right_grid_left_width_0_height_0_subtile_0__pin_inpad_0_, top_right_grid_left_width_0_height_0_subtile_3__pin_inpad_0_, top_right_grid_left_width_0_height_0_subtile_6__pin_inpad_0_, chany_bottom_in[1], chany_bottom_in[5], chanx_left_in[2], chanx_left_in[5], chanx_left_in[8]}), + .sram(mux_tree_tapbuf_size8_0_sram[0:3]), + .sram_inv(mux_tree_tapbuf_size8_0_sram_inv[0:3]), + .out(chany_top_out[4])); + + mux_tree_tapbuf_size8 mux_top_track_16 ( + .in({top_right_grid_left_width_0_height_0_subtile_1__pin_inpad_0_, top_right_grid_left_width_0_height_0_subtile_4__pin_inpad_0_, top_right_grid_left_width_0_height_0_subtile_7__pin_inpad_0_, chany_bottom_in[2], chany_bottom_in[6], chanx_left_in[1], chanx_left_in[4], chanx_left_in[7]}), + .sram(mux_tree_tapbuf_size8_1_sram[0:3]), + .sram_inv(mux_tree_tapbuf_size8_1_sram_inv[0:3]), + .out(chany_top_out[8])); + + mux_tree_tapbuf_size8 mux_bottom_track_9 ( + .in({chany_top_in[1], chany_top_in[5], bottom_right_grid_left_width_0_height_0_subtile_1__pin_inpad_0_, bottom_right_grid_left_width_0_height_0_subtile_4__pin_inpad_0_, bottom_right_grid_left_width_0_height_0_subtile_7__pin_inpad_0_, chanx_left_in[2], chanx_left_in[5], chanx_left_in[8]}), + .sram(mux_tree_tapbuf_size8_2_sram[0:3]), + .sram_inv(mux_tree_tapbuf_size8_2_sram_inv[0:3]), + .out(chany_bottom_out[4])); + + mux_tree_tapbuf_size8_mem mem_top_track_8 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size10_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size8_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size8_0_sram[0:3]), + .mem_outb(mux_tree_tapbuf_size8_0_sram_inv[0:3])); + + mux_tree_tapbuf_size8_mem mem_top_track_16 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size8_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size8_mem_1_ccff_tail), + .mem_out(mux_tree_tapbuf_size8_1_sram[0:3]), + .mem_outb(mux_tree_tapbuf_size8_1_sram_inv[0:3])); + + mux_tree_tapbuf_size8_mem mem_bottom_track_9 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size9_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size8_mem_2_ccff_tail), + .mem_out(mux_tree_tapbuf_size8_2_sram[0:3]), + .mem_outb(mux_tree_tapbuf_size8_2_sram_inv[0:3])); + + mux_tree_tapbuf_size9 mux_bottom_track_1 ( + .in({chany_top_in[0], chany_top_in[4], chany_top_in[8], bottom_right_grid_left_width_0_height_0_subtile_0__pin_inpad_0_, bottom_right_grid_left_width_0_height_0_subtile_3__pin_inpad_0_, bottom_right_grid_left_width_0_height_0_subtile_6__pin_inpad_0_, chanx_left_in[1], chanx_left_in[4], chanx_left_in[7]}), + .sram(mux_tree_tapbuf_size9_0_sram[0:3]), + .sram_inv(mux_tree_tapbuf_size9_0_sram_inv[0:3]), + .out(chany_bottom_out[0])); + + mux_tree_tapbuf_size9 mux_bottom_track_17 ( + .in({chany_top_in[2], chany_top_in[6], bottom_right_grid_left_width_0_height_0_subtile_2__pin_inpad_0_, bottom_right_grid_left_width_0_height_0_subtile_5__pin_inpad_0_, bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_3_, chanx_left_in[0], chanx_left_in[3], chanx_left_in[6], chanx_left_in[9]}), + .sram(mux_tree_tapbuf_size9_1_sram[0:3]), + .sram_inv(mux_tree_tapbuf_size9_1_sram_inv[0:3]), + .out(chany_bottom_out[8])); + + mux_tree_tapbuf_size9_mem mem_bottom_track_1 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size8_mem_1_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size9_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size9_0_sram[0:3]), + .mem_outb(mux_tree_tapbuf_size9_0_sram_inv[0:3])); + + mux_tree_tapbuf_size9_mem mem_bottom_track_17 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size8_mem_2_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size9_mem_1_ccff_tail), + .mem_out(mux_tree_tapbuf_size9_1_sram[0:3]), + .mem_outb(mux_tree_tapbuf_size9_1_sram_inv[0:3])); + + mux_tree_tapbuf_size3 mux_left_track_1 ( + .in({chany_top_in[0], chany_top_in[3], left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_}), + .sram(mux_tree_tapbuf_size3_0_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size3_0_sram_inv[0:1]), + .out(chanx_left_out[0])); + + mux_tree_tapbuf_size3 mux_left_track_3 ( + .in({chany_bottom_in[0], chany_bottom_in[3], left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_}), + .sram(mux_tree_tapbuf_size3_1_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size3_1_sram_inv[0:1]), + .out(chanx_left_out[1])); + + mux_tree_tapbuf_size3_mem mem_left_track_1 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size9_mem_1_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size3_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size3_0_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size3_0_sram_inv[0:1])); + + mux_tree_tapbuf_size3_mem mem_left_track_3 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size3_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size3_mem_1_ccff_tail), + .mem_out(mux_tree_tapbuf_size3_1_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size3_1_sram_inv[0:1])); + + mux_tree_tapbuf_size2 mux_left_track_5 ( + .in({chany_bottom_in[1], chany_bottom_in[7]}), + .sram(mux_tree_tapbuf_size2_0_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_0_sram_inv[0:1]), + .out(chanx_left_out[2])); + + mux_tree_tapbuf_size2 mux_left_track_7 ( + .in({chany_bottom_in[2], chany_bottom_in[9]}), + .sram(mux_tree_tapbuf_size2_1_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_1_sram_inv[0:1]), + .out(chanx_left_out[3])); + + mux_tree_tapbuf_size2 mux_left_track_9 ( + .in({chany_top_in[8], chany_bottom_in[4]}), + .sram(mux_tree_tapbuf_size2_2_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_2_sram_inv[0:1]), + .out(chanx_left_out[4])); + + mux_tree_tapbuf_size2 mux_left_track_11 ( + .in({chany_top_in[6], chany_bottom_in[5]}), + .sram(mux_tree_tapbuf_size2_3_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_3_sram_inv[0:1]), + .out(chanx_left_out[5])); + + mux_tree_tapbuf_size2 mux_left_track_13 ( + .in({chany_top_in[5], chany_bottom_in[6]}), + .sram(mux_tree_tapbuf_size2_4_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_4_sram_inv[0:1]), + .out(chanx_left_out[6])); + + mux_tree_tapbuf_size2 mux_left_track_15 ( + .in({chany_top_in[4], chany_bottom_in[8]}), + .sram(mux_tree_tapbuf_size2_5_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_5_sram_inv[0:1]), + .out(chanx_left_out[7])); + + mux_tree_tapbuf_size2 mux_left_track_17 ( + .in({chany_top_in[2], chany_top_in[9]}), + .sram(mux_tree_tapbuf_size2_6_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_6_sram_inv[0:1]), + .out(chanx_left_out[8])); + + mux_tree_tapbuf_size2 mux_left_track_19 ( + .in({chany_top_in[1], chany_top_in[7]}), + .sram(mux_tree_tapbuf_size2_7_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_7_sram_inv[0:1]), + .out(chanx_left_out[9])); + + mux_tree_tapbuf_size2_mem mem_left_track_5 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size3_mem_1_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_0_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_0_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_left_track_7 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_1_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_1_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_1_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_left_track_9 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_1_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_2_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_2_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_2_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_left_track_11 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_2_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_3_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_3_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_3_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_left_track_13 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_3_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_4_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_4_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_4_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_left_track_15 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_4_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_5_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_5_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_5_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_left_track_17 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_5_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_6_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_6_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_6_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_left_track_19 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_6_ccff_tail), + .ccff_tail(ccff_tail), + .mem_out(mux_tree_tapbuf_size2_7_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_7_sram_inv[0:1])); + +endmodule +// ----- END Verilog module for sb_4__1_ ----- + +//----- Default net type ----- +`default_nettype none + + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/sb_4__4_.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/sb_4__4_.v new file mode 100644 index 000000000..04dd2f961 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/sb_4__4_.v @@ -0,0 +1,406 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Verilog modules for Unique Switch Blocks[4][4] +// Author: Xifan TANG +// Organization: University of Utah +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for sb_4__4_ ----- +module sb_4__4_(prog_clk, + chany_bottom_in, + bottom_right_grid_left_width_0_height_0_subtile_0__pin_inpad_0_, + bottom_right_grid_left_width_0_height_0_subtile_1__pin_inpad_0_, + bottom_right_grid_left_width_0_height_0_subtile_2__pin_inpad_0_, + bottom_right_grid_left_width_0_height_0_subtile_3__pin_inpad_0_, + bottom_right_grid_left_width_0_height_0_subtile_4__pin_inpad_0_, + bottom_right_grid_left_width_0_height_0_subtile_5__pin_inpad_0_, + bottom_right_grid_left_width_0_height_0_subtile_6__pin_inpad_0_, + bottom_right_grid_left_width_0_height_0_subtile_7__pin_inpad_0_, + bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_3_, + chanx_left_in, + left_top_grid_bottom_width_0_height_0_subtile_0__pin_inpad_0_, + left_top_grid_bottom_width_0_height_0_subtile_1__pin_inpad_0_, + left_top_grid_bottom_width_0_height_0_subtile_2__pin_inpad_0_, + left_top_grid_bottom_width_0_height_0_subtile_3__pin_inpad_0_, + left_top_grid_bottom_width_0_height_0_subtile_4__pin_inpad_0_, + left_top_grid_bottom_width_0_height_0_subtile_5__pin_inpad_0_, + left_top_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_, + left_top_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_, + left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_, + ccff_head, + chany_bottom_out, + chanx_left_out, + ccff_tail); +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- INPUT PORTS ----- +input [0:9] chany_bottom_in; +//----- INPUT PORTS ----- +input [0:0] bottom_right_grid_left_width_0_height_0_subtile_0__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] bottom_right_grid_left_width_0_height_0_subtile_1__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] bottom_right_grid_left_width_0_height_0_subtile_2__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] bottom_right_grid_left_width_0_height_0_subtile_3__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] bottom_right_grid_left_width_0_height_0_subtile_4__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] bottom_right_grid_left_width_0_height_0_subtile_5__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] bottom_right_grid_left_width_0_height_0_subtile_6__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] bottom_right_grid_left_width_0_height_0_subtile_7__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_3_; +//----- INPUT PORTS ----- +input [0:9] chanx_left_in; +//----- INPUT PORTS ----- +input [0:0] left_top_grid_bottom_width_0_height_0_subtile_0__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_top_grid_bottom_width_0_height_0_subtile_1__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_top_grid_bottom_width_0_height_0_subtile_2__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_top_grid_bottom_width_0_height_0_subtile_3__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_top_grid_bottom_width_0_height_0_subtile_4__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_top_grid_bottom_width_0_height_0_subtile_5__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_top_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_top_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_; +//----- INPUT PORTS ----- +input [0:0] left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:9] chany_bottom_out; +//----- OUTPUT PORTS ----- +output [0:9] chanx_left_out; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:1] mux_tree_tapbuf_size2_0_sram; +wire [0:1] mux_tree_tapbuf_size2_0_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_10_sram; +wire [0:1] mux_tree_tapbuf_size2_10_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_11_sram; +wire [0:1] mux_tree_tapbuf_size2_11_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_12_sram; +wire [0:1] mux_tree_tapbuf_size2_12_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_13_sram; +wire [0:1] mux_tree_tapbuf_size2_13_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_14_sram; +wire [0:1] mux_tree_tapbuf_size2_14_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_15_sram; +wire [0:1] mux_tree_tapbuf_size2_15_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_16_sram; +wire [0:1] mux_tree_tapbuf_size2_16_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_17_sram; +wire [0:1] mux_tree_tapbuf_size2_17_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_1_sram; +wire [0:1] mux_tree_tapbuf_size2_1_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_2_sram; +wire [0:1] mux_tree_tapbuf_size2_2_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_3_sram; +wire [0:1] mux_tree_tapbuf_size2_3_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_4_sram; +wire [0:1] mux_tree_tapbuf_size2_4_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_5_sram; +wire [0:1] mux_tree_tapbuf_size2_5_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_6_sram; +wire [0:1] mux_tree_tapbuf_size2_6_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_7_sram; +wire [0:1] mux_tree_tapbuf_size2_7_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_8_sram; +wire [0:1] mux_tree_tapbuf_size2_8_sram_inv; +wire [0:1] mux_tree_tapbuf_size2_9_sram; +wire [0:1] mux_tree_tapbuf_size2_9_sram_inv; +wire [0:0] mux_tree_tapbuf_size2_mem_0_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_10_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_11_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_12_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_13_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_14_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_15_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_16_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_1_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_2_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_3_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_4_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_5_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_6_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_7_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_8_ccff_tail; +wire [0:0] mux_tree_tapbuf_size2_mem_9_ccff_tail; + +// ----- BEGIN Local short connections ----- +// ----- Local connection due to Wire 8 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chanx_left_out[9] = chany_bottom_in[8]; +// ----- Local connection due to Wire 19 ----- +// ----- Net source id 0 ----- +// ----- Net sink id 0 ----- + assign chany_bottom_out[9] = chanx_left_in[0]; +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + mux_tree_tapbuf_size2 mux_bottom_track_1 ( + .in({bottom_right_grid_left_width_0_height_0_subtile_0__pin_inpad_0_, chanx_left_in[1]}), + .sram(mux_tree_tapbuf_size2_0_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_0_sram_inv[0:1]), + .out(chany_bottom_out[0])); + + mux_tree_tapbuf_size2 mux_bottom_track_3 ( + .in({bottom_right_grid_left_width_0_height_0_subtile_1__pin_inpad_0_, chanx_left_in[2]}), + .sram(mux_tree_tapbuf_size2_1_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_1_sram_inv[0:1]), + .out(chany_bottom_out[1])); + + mux_tree_tapbuf_size2 mux_bottom_track_5 ( + .in({bottom_right_grid_left_width_0_height_0_subtile_2__pin_inpad_0_, chanx_left_in[3]}), + .sram(mux_tree_tapbuf_size2_2_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_2_sram_inv[0:1]), + .out(chany_bottom_out[2])); + + mux_tree_tapbuf_size2 mux_bottom_track_7 ( + .in({bottom_right_grid_left_width_0_height_0_subtile_3__pin_inpad_0_, chanx_left_in[4]}), + .sram(mux_tree_tapbuf_size2_3_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_3_sram_inv[0:1]), + .out(chany_bottom_out[3])); + + mux_tree_tapbuf_size2 mux_bottom_track_9 ( + .in({bottom_right_grid_left_width_0_height_0_subtile_4__pin_inpad_0_, chanx_left_in[5]}), + .sram(mux_tree_tapbuf_size2_4_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_4_sram_inv[0:1]), + .out(chany_bottom_out[4])); + + mux_tree_tapbuf_size2 mux_bottom_track_11 ( + .in({bottom_right_grid_left_width_0_height_0_subtile_5__pin_inpad_0_, chanx_left_in[6]}), + .sram(mux_tree_tapbuf_size2_5_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_5_sram_inv[0:1]), + .out(chany_bottom_out[5])); + + mux_tree_tapbuf_size2 mux_bottom_track_13 ( + .in({bottom_right_grid_left_width_0_height_0_subtile_6__pin_inpad_0_, chanx_left_in[7]}), + .sram(mux_tree_tapbuf_size2_6_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_6_sram_inv[0:1]), + .out(chany_bottom_out[6])); + + mux_tree_tapbuf_size2 mux_bottom_track_15 ( + .in({bottom_right_grid_left_width_0_height_0_subtile_7__pin_inpad_0_, chanx_left_in[8]}), + .sram(mux_tree_tapbuf_size2_7_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_7_sram_inv[0:1]), + .out(chany_bottom_out[7])); + + mux_tree_tapbuf_size2 mux_bottom_track_17 ( + .in({bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_3_, chanx_left_in[9]}), + .sram(mux_tree_tapbuf_size2_8_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_8_sram_inv[0:1]), + .out(chany_bottom_out[8])); + + mux_tree_tapbuf_size2 mux_left_track_1 ( + .in({chany_bottom_in[9], left_top_grid_bottom_width_0_height_0_subtile_0__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size2_9_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_9_sram_inv[0:1]), + .out(chanx_left_out[0])); + + mux_tree_tapbuf_size2 mux_left_track_3 ( + .in({chany_bottom_in[0], left_top_grid_bottom_width_0_height_0_subtile_1__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size2_10_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_10_sram_inv[0:1]), + .out(chanx_left_out[1])); + + mux_tree_tapbuf_size2 mux_left_track_5 ( + .in({chany_bottom_in[1], left_top_grid_bottom_width_0_height_0_subtile_2__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size2_11_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_11_sram_inv[0:1]), + .out(chanx_left_out[2])); + + mux_tree_tapbuf_size2 mux_left_track_7 ( + .in({chany_bottom_in[2], left_top_grid_bottom_width_0_height_0_subtile_3__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size2_12_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_12_sram_inv[0:1]), + .out(chanx_left_out[3])); + + mux_tree_tapbuf_size2 mux_left_track_9 ( + .in({chany_bottom_in[3], left_top_grid_bottom_width_0_height_0_subtile_4__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size2_13_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_13_sram_inv[0:1]), + .out(chanx_left_out[4])); + + mux_tree_tapbuf_size2 mux_left_track_11 ( + .in({chany_bottom_in[4], left_top_grid_bottom_width_0_height_0_subtile_5__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size2_14_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_14_sram_inv[0:1]), + .out(chanx_left_out[5])); + + mux_tree_tapbuf_size2 mux_left_track_13 ( + .in({chany_bottom_in[5], left_top_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size2_15_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_15_sram_inv[0:1]), + .out(chanx_left_out[6])); + + mux_tree_tapbuf_size2 mux_left_track_15 ( + .in({chany_bottom_in[6], left_top_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_}), + .sram(mux_tree_tapbuf_size2_16_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_16_sram_inv[0:1]), + .out(chanx_left_out[7])); + + mux_tree_tapbuf_size2 mux_left_track_17 ( + .in({chany_bottom_in[7], left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_}), + .sram(mux_tree_tapbuf_size2_17_sram[0:1]), + .sram_inv(mux_tree_tapbuf_size2_17_sram_inv[0:1]), + .out(chanx_left_out[8])); + + mux_tree_tapbuf_size2_mem mem_bottom_track_1 ( + .prog_clk(prog_clk), + .ccff_head(ccff_head), + .ccff_tail(mux_tree_tapbuf_size2_mem_0_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_0_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_0_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_bottom_track_3 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_0_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_1_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_1_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_1_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_bottom_track_5 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_1_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_2_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_2_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_2_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_bottom_track_7 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_2_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_3_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_3_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_3_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_bottom_track_9 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_3_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_4_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_4_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_4_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_bottom_track_11 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_4_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_5_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_5_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_5_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_bottom_track_13 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_5_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_6_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_6_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_6_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_bottom_track_15 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_6_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_7_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_7_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_7_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_bottom_track_17 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_7_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_8_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_8_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_8_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_left_track_1 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_8_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_9_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_9_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_9_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_left_track_3 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_9_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_10_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_10_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_10_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_left_track_5 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_10_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_11_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_11_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_11_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_left_track_7 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_11_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_12_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_12_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_12_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_left_track_9 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_12_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_13_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_13_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_13_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_left_track_11 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_13_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_14_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_14_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_14_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_left_track_13 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_14_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_15_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_15_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_15_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_left_track_15 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_15_ccff_tail), + .ccff_tail(mux_tree_tapbuf_size2_mem_16_ccff_tail), + .mem_out(mux_tree_tapbuf_size2_16_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_16_sram_inv[0:1])); + + mux_tree_tapbuf_size2_mem mem_left_track_17 ( + .prog_clk(prog_clk), + .ccff_head(mux_tree_tapbuf_size2_mem_16_ccff_tail), + .ccff_tail(ccff_tail), + .mem_out(mux_tree_tapbuf_size2_17_sram[0:1]), + .mem_outb(mux_tree_tapbuf_size2_17_sram_inv[0:1])); + +endmodule +// ----- END Verilog module for sb_4__4_ ----- + +//----- Default net type ----- +`default_nettype none + + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sb_0__0_.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sb_0__0_.sdc new file mode 100644 index 000000000..ef09fa165 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sb_0__0_.sdc @@ -0,0 +1,51 @@ +############################################# +# Synopsys Design Constraints (SDC) +# For FPGA fabric +# Description: Constrain timing of Switch Block sb_0__0_ for PnR +# Author: Xifan TANG +# Organization: University of Utah +############################################# + +############################################# +# Define time unit +############################################# +set_units -time s + +set_max_delay -from fpga_top/sb_0__0_/top_left_grid_right_width_0_height_0_subtile_0__pin_inpad_0_[0] -to fpga_top/sb_0__0_/chany_top_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__0_/chanx_right_in[1] -to fpga_top/sb_0__0_/chany_top_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__0_/top_left_grid_right_width_0_height_0_subtile_1__pin_inpad_0_[0] -to fpga_top/sb_0__0_/chany_top_out[1] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__0_/chanx_right_in[2] -to fpga_top/sb_0__0_/chany_top_out[1] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__0_/top_left_grid_right_width_0_height_0_subtile_2__pin_inpad_0_[0] -to fpga_top/sb_0__0_/chany_top_out[2] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__0_/chanx_right_in[3] -to fpga_top/sb_0__0_/chany_top_out[2] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__0_/top_left_grid_right_width_0_height_0_subtile_3__pin_inpad_0_[0] -to fpga_top/sb_0__0_/chany_top_out[3] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__0_/chanx_right_in[4] -to fpga_top/sb_0__0_/chany_top_out[3] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__0_/top_left_grid_right_width_0_height_0_subtile_4__pin_inpad_0_[0] -to fpga_top/sb_0__0_/chany_top_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__0_/chanx_right_in[5] -to fpga_top/sb_0__0_/chany_top_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__0_/top_left_grid_right_width_0_height_0_subtile_5__pin_inpad_0_[0] -to fpga_top/sb_0__0_/chany_top_out[5] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__0_/chanx_right_in[6] -to fpga_top/sb_0__0_/chany_top_out[5] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__0_/top_left_grid_right_width_0_height_0_subtile_6__pin_inpad_0_[0] -to fpga_top/sb_0__0_/chany_top_out[6] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__0_/chanx_right_in[7] -to fpga_top/sb_0__0_/chany_top_out[6] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__0_/top_left_grid_right_width_0_height_0_subtile_7__pin_inpad_0_[0] -to fpga_top/sb_0__0_/chany_top_out[7] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__0_/chanx_right_in[8] -to fpga_top/sb_0__0_/chany_top_out[7] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__0_/top_right_grid_left_width_0_height_0_subtile_0__pin_O_1_[0] -to fpga_top/sb_0__0_/chany_top_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__0_/chanx_right_in[9] -to fpga_top/sb_0__0_/chany_top_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__0_/chanx_right_in[0] -to fpga_top/sb_0__0_/chany_top_out[9] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__0_/chany_top_in[9] -to fpga_top/sb_0__0_/chanx_right_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__0_/right_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_[0] -to fpga_top/sb_0__0_/chanx_right_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__0_/chany_top_in[0] -to fpga_top/sb_0__0_/chanx_right_out[1] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__0_/right_bottom_grid_top_width_0_height_0_subtile_0__pin_inpad_0_[0] -to fpga_top/sb_0__0_/chanx_right_out[1] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__0_/chany_top_in[1] -to fpga_top/sb_0__0_/chanx_right_out[2] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__0_/right_bottom_grid_top_width_0_height_0_subtile_1__pin_inpad_0_[0] -to fpga_top/sb_0__0_/chanx_right_out[2] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__0_/chany_top_in[2] -to fpga_top/sb_0__0_/chanx_right_out[3] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__0_/right_bottom_grid_top_width_0_height_0_subtile_2__pin_inpad_0_[0] -to fpga_top/sb_0__0_/chanx_right_out[3] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__0_/chany_top_in[3] -to fpga_top/sb_0__0_/chanx_right_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__0_/right_bottom_grid_top_width_0_height_0_subtile_3__pin_inpad_0_[0] -to fpga_top/sb_0__0_/chanx_right_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__0_/chany_top_in[4] -to fpga_top/sb_0__0_/chanx_right_out[5] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__0_/right_bottom_grid_top_width_0_height_0_subtile_4__pin_inpad_0_[0] -to fpga_top/sb_0__0_/chanx_right_out[5] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__0_/chany_top_in[5] -to fpga_top/sb_0__0_/chanx_right_out[6] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__0_/right_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_[0] -to fpga_top/sb_0__0_/chanx_right_out[6] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__0_/chany_top_in[6] -to fpga_top/sb_0__0_/chanx_right_out[7] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__0_/right_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_[0] -to fpga_top/sb_0__0_/chanx_right_out[7] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__0_/chany_top_in[7] -to fpga_top/sb_0__0_/chanx_right_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__0_/right_bottom_grid_top_width_0_height_0_subtile_7__pin_inpad_0_[0] -to fpga_top/sb_0__0_/chanx_right_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__0_/chany_top_in[8] -to fpga_top/sb_0__0_/chanx_right_out[9] 6.020400151e-11 diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sb_0__1_.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sb_0__1_.sdc new file mode 100644 index 000000000..012cc23e0 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sb_0__1_.sdc @@ -0,0 +1,87 @@ +############################################# +# Synopsys Design Constraints (SDC) +# For FPGA fabric +# Description: Constrain timing of Switch Block sb_0__1_ for PnR +# Author: Xifan TANG +# Organization: University of Utah +############################################# + +############################################# +# Define time unit +############################################# +set_units -time s + +set_max_delay -from fpga_top/sb_0__1_/top_left_grid_right_width_0_height_0_subtile_0__pin_inpad_0_[0] -to fpga_top/sb_0__1_/chany_top_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/top_left_grid_right_width_0_height_0_subtile_3__pin_inpad_0_[0] -to fpga_top/sb_0__1_/chany_top_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/top_left_grid_right_width_0_height_0_subtile_6__pin_inpad_0_[0] -to fpga_top/sb_0__1_/chany_top_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/chanx_right_in[1] -to fpga_top/sb_0__1_/chany_top_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/chanx_right_in[4] -to fpga_top/sb_0__1_/chany_top_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/chanx_right_in[7] -to fpga_top/sb_0__1_/chany_top_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/chany_bottom_in[0] -to fpga_top/sb_0__1_/chany_top_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/chany_bottom_in[4] -to fpga_top/sb_0__1_/chany_top_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/chany_bottom_in[8] -to fpga_top/sb_0__1_/chany_top_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/top_left_grid_right_width_0_height_0_subtile_1__pin_inpad_0_[0] -to fpga_top/sb_0__1_/chany_top_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/top_left_grid_right_width_0_height_0_subtile_4__pin_inpad_0_[0] -to fpga_top/sb_0__1_/chany_top_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/top_left_grid_right_width_0_height_0_subtile_7__pin_inpad_0_[0] -to fpga_top/sb_0__1_/chany_top_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/chanx_right_in[2] -to fpga_top/sb_0__1_/chany_top_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/chanx_right_in[5] -to fpga_top/sb_0__1_/chany_top_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/chanx_right_in[8] -to fpga_top/sb_0__1_/chany_top_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/chany_bottom_in[1] -to fpga_top/sb_0__1_/chany_top_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/chany_bottom_in[5] -to fpga_top/sb_0__1_/chany_top_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/top_left_grid_right_width_0_height_0_subtile_2__pin_inpad_0_[0] -to fpga_top/sb_0__1_/chany_top_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/top_left_grid_right_width_0_height_0_subtile_5__pin_inpad_0_[0] -to fpga_top/sb_0__1_/chany_top_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/top_right_grid_left_width_0_height_0_subtile_0__pin_O_1_[0] -to fpga_top/sb_0__1_/chany_top_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/chanx_right_in[0] -to fpga_top/sb_0__1_/chany_top_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/chanx_right_in[3] -to fpga_top/sb_0__1_/chany_top_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/chanx_right_in[6] -to fpga_top/sb_0__1_/chany_top_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/chanx_right_in[9] -to fpga_top/sb_0__1_/chany_top_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/chany_bottom_in[2] -to fpga_top/sb_0__1_/chany_top_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/chany_bottom_in[6] -to fpga_top/sb_0__1_/chany_top_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/right_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_[0] -to fpga_top/sb_0__1_/chanx_right_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/chany_top_in[0] -to fpga_top/sb_0__1_/chanx_right_out[1] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/chany_top_in[3] -to fpga_top/sb_0__1_/chanx_right_out[1] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_[0] -to fpga_top/sb_0__1_/chanx_right_out[1] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/chany_top_in[1] -to fpga_top/sb_0__1_/chanx_right_out[2] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/chany_top_in[7] -to fpga_top/sb_0__1_/chanx_right_out[2] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/chany_bottom_in[8] -to fpga_top/sb_0__1_/chanx_right_out[2] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/chany_top_in[2] -to fpga_top/sb_0__1_/chanx_right_out[3] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/chany_top_in[9] -to fpga_top/sb_0__1_/chanx_right_out[3] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/chany_bottom_in[6] -to fpga_top/sb_0__1_/chanx_right_out[3] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/chany_top_in[4] -to fpga_top/sb_0__1_/chanx_right_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/chany_bottom_in[5] -to fpga_top/sb_0__1_/chanx_right_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/chany_top_in[5] -to fpga_top/sb_0__1_/chanx_right_out[5] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/chany_bottom_in[4] -to fpga_top/sb_0__1_/chanx_right_out[5] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/chany_top_in[6] -to fpga_top/sb_0__1_/chanx_right_out[6] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/chany_bottom_in[2] -to fpga_top/sb_0__1_/chanx_right_out[6] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/chany_bottom_in[9] -to fpga_top/sb_0__1_/chanx_right_out[6] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/chany_top_in[8] -to fpga_top/sb_0__1_/chanx_right_out[7] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/chany_bottom_in[1] -to fpga_top/sb_0__1_/chanx_right_out[7] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/chany_bottom_in[7] -to fpga_top/sb_0__1_/chanx_right_out[7] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/chany_bottom_in[0] -to fpga_top/sb_0__1_/chanx_right_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/chany_bottom_in[3] -to fpga_top/sb_0__1_/chanx_right_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/chany_top_in[0] -to fpga_top/sb_0__1_/chany_bottom_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/chany_top_in[4] -to fpga_top/sb_0__1_/chany_bottom_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/chany_top_in[8] -to fpga_top/sb_0__1_/chany_bottom_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/chanx_right_in[1] -to fpga_top/sb_0__1_/chany_bottom_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/chanx_right_in[4] -to fpga_top/sb_0__1_/chany_bottom_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/chanx_right_in[7] -to fpga_top/sb_0__1_/chany_bottom_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/bottom_right_grid_left_width_0_height_0_subtile_0__pin_O_1_[0] -to fpga_top/sb_0__1_/chany_bottom_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/bottom_left_grid_right_width_0_height_0_subtile_2__pin_inpad_0_[0] -to fpga_top/sb_0__1_/chany_bottom_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/bottom_left_grid_right_width_0_height_0_subtile_5__pin_inpad_0_[0] -to fpga_top/sb_0__1_/chany_bottom_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/chany_top_in[1] -to fpga_top/sb_0__1_/chany_bottom_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/chany_top_in[5] -to fpga_top/sb_0__1_/chany_bottom_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/chanx_right_in[0] -to fpga_top/sb_0__1_/chany_bottom_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/chanx_right_in[3] -to fpga_top/sb_0__1_/chany_bottom_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/chanx_right_in[6] -to fpga_top/sb_0__1_/chany_bottom_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/chanx_right_in[9] -to fpga_top/sb_0__1_/chany_bottom_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/bottom_left_grid_right_width_0_height_0_subtile_0__pin_inpad_0_[0] -to fpga_top/sb_0__1_/chany_bottom_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/bottom_left_grid_right_width_0_height_0_subtile_3__pin_inpad_0_[0] -to fpga_top/sb_0__1_/chany_bottom_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/bottom_left_grid_right_width_0_height_0_subtile_6__pin_inpad_0_[0] -to fpga_top/sb_0__1_/chany_bottom_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/chany_top_in[2] -to fpga_top/sb_0__1_/chany_bottom_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/chany_top_in[6] -to fpga_top/sb_0__1_/chany_bottom_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/chanx_right_in[2] -to fpga_top/sb_0__1_/chany_bottom_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/chanx_right_in[5] -to fpga_top/sb_0__1_/chany_bottom_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/chanx_right_in[8] -to fpga_top/sb_0__1_/chany_bottom_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/bottom_left_grid_right_width_0_height_0_subtile_1__pin_inpad_0_[0] -to fpga_top/sb_0__1_/chany_bottom_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/bottom_left_grid_right_width_0_height_0_subtile_4__pin_inpad_0_[0] -to fpga_top/sb_0__1_/chany_bottom_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__1_/bottom_left_grid_right_width_0_height_0_subtile_7__pin_inpad_0_[0] -to fpga_top/sb_0__1_/chany_bottom_out[8] 6.020400151e-11 diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sb_0__4_.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sb_0__4_.sdc new file mode 100644 index 000000000..a9f0772da --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sb_0__4_.sdc @@ -0,0 +1,51 @@ +############################################# +# Synopsys Design Constraints (SDC) +# For FPGA fabric +# Description: Constrain timing of Switch Block sb_0__4_ for PnR +# Author: Xifan TANG +# Organization: University of Utah +############################################# + +############################################# +# Define time unit +############################################# +set_units -time s + +set_max_delay -from fpga_top/sb_0__4_/right_top_grid_bottom_width_0_height_0_subtile_0__pin_inpad_0_[0] -to fpga_top/sb_0__4_/chanx_right_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__4_/chany_bottom_in[8] -to fpga_top/sb_0__4_/chanx_right_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__4_/right_top_grid_bottom_width_0_height_0_subtile_1__pin_inpad_0_[0] -to fpga_top/sb_0__4_/chanx_right_out[1] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__4_/chany_bottom_in[7] -to fpga_top/sb_0__4_/chanx_right_out[1] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__4_/right_top_grid_bottom_width_0_height_0_subtile_2__pin_inpad_0_[0] -to fpga_top/sb_0__4_/chanx_right_out[2] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__4_/chany_bottom_in[6] -to fpga_top/sb_0__4_/chanx_right_out[2] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__4_/right_top_grid_bottom_width_0_height_0_subtile_3__pin_inpad_0_[0] -to fpga_top/sb_0__4_/chanx_right_out[3] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__4_/chany_bottom_in[5] -to fpga_top/sb_0__4_/chanx_right_out[3] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__4_/right_top_grid_bottom_width_0_height_0_subtile_4__pin_inpad_0_[0] -to fpga_top/sb_0__4_/chanx_right_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__4_/chany_bottom_in[4] -to fpga_top/sb_0__4_/chanx_right_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__4_/right_top_grid_bottom_width_0_height_0_subtile_5__pin_inpad_0_[0] -to fpga_top/sb_0__4_/chanx_right_out[5] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__4_/chany_bottom_in[3] -to fpga_top/sb_0__4_/chanx_right_out[5] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__4_/right_top_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_[0] -to fpga_top/sb_0__4_/chanx_right_out[6] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__4_/chany_bottom_in[2] -to fpga_top/sb_0__4_/chanx_right_out[6] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__4_/right_top_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_[0] -to fpga_top/sb_0__4_/chanx_right_out[7] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__4_/chany_bottom_in[1] -to fpga_top/sb_0__4_/chanx_right_out[7] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__4_/right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_[0] -to fpga_top/sb_0__4_/chanx_right_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__4_/chany_bottom_in[0] -to fpga_top/sb_0__4_/chanx_right_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__4_/chany_bottom_in[9] -to fpga_top/sb_0__4_/chanx_right_out[9] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__4_/chanx_right_in[8] -to fpga_top/sb_0__4_/chany_bottom_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__4_/bottom_right_grid_left_width_0_height_0_subtile_0__pin_O_1_[0] -to fpga_top/sb_0__4_/chany_bottom_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__4_/chanx_right_in[7] -to fpga_top/sb_0__4_/chany_bottom_out[1] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__4_/bottom_left_grid_right_width_0_height_0_subtile_0__pin_inpad_0_[0] -to fpga_top/sb_0__4_/chany_bottom_out[1] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__4_/chanx_right_in[6] -to fpga_top/sb_0__4_/chany_bottom_out[2] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__4_/bottom_left_grid_right_width_0_height_0_subtile_1__pin_inpad_0_[0] -to fpga_top/sb_0__4_/chany_bottom_out[2] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__4_/chanx_right_in[5] -to fpga_top/sb_0__4_/chany_bottom_out[3] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__4_/bottom_left_grid_right_width_0_height_0_subtile_2__pin_inpad_0_[0] -to fpga_top/sb_0__4_/chany_bottom_out[3] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__4_/chanx_right_in[4] -to fpga_top/sb_0__4_/chany_bottom_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__4_/bottom_left_grid_right_width_0_height_0_subtile_3__pin_inpad_0_[0] -to fpga_top/sb_0__4_/chany_bottom_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__4_/chanx_right_in[3] -to fpga_top/sb_0__4_/chany_bottom_out[5] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__4_/bottom_left_grid_right_width_0_height_0_subtile_4__pin_inpad_0_[0] -to fpga_top/sb_0__4_/chany_bottom_out[5] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__4_/chanx_right_in[2] -to fpga_top/sb_0__4_/chany_bottom_out[6] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__4_/bottom_left_grid_right_width_0_height_0_subtile_5__pin_inpad_0_[0] -to fpga_top/sb_0__4_/chany_bottom_out[6] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__4_/chanx_right_in[1] -to fpga_top/sb_0__4_/chany_bottom_out[7] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__4_/bottom_left_grid_right_width_0_height_0_subtile_6__pin_inpad_0_[0] -to fpga_top/sb_0__4_/chany_bottom_out[7] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__4_/chanx_right_in[0] -to fpga_top/sb_0__4_/chany_bottom_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__4_/bottom_left_grid_right_width_0_height_0_subtile_7__pin_inpad_0_[0] -to fpga_top/sb_0__4_/chany_bottom_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_0__4_/chanx_right_in[9] -to fpga_top/sb_0__4_/chany_bottom_out[9] 6.020400151e-11 diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sb_1__0_.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sb_1__0_.sdc new file mode 100644 index 000000000..4355dc5fc --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sb_1__0_.sdc @@ -0,0 +1,87 @@ +############################################# +# Synopsys Design Constraints (SDC) +# For FPGA fabric +# Description: Constrain timing of Switch Block sb_1__0_ for PnR +# Author: Xifan TANG +# Organization: University of Utah +############################################# + +############################################# +# Define time unit +############################################# +set_units -time s + +set_max_delay -from fpga_top/sb_1__0_/top_left_grid_right_width_0_height_0_subtile_0__pin_O_3_[0] -to fpga_top/sb_1__0_/chany_top_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/chanx_right_in[1] -to fpga_top/sb_1__0_/chany_top_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/chanx_right_in[7] -to fpga_top/sb_1__0_/chany_top_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/chanx_left_in[0] -to fpga_top/sb_1__0_/chany_top_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/chanx_left_in[3] -to fpga_top/sb_1__0_/chany_top_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/top_right_grid_left_width_0_height_0_subtile_0__pin_O_1_[0] -to fpga_top/sb_1__0_/chany_top_out[1] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/chanx_right_in[2] -to fpga_top/sb_1__0_/chany_top_out[1] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/chanx_right_in[9] -to fpga_top/sb_1__0_/chany_top_out[1] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/chanx_right_in[4] -to fpga_top/sb_1__0_/chany_top_out[2] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/chanx_right_in[5] -to fpga_top/sb_1__0_/chany_top_out[3] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/chanx_right_in[6] -to fpga_top/sb_1__0_/chany_top_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/chanx_left_in[8] -to fpga_top/sb_1__0_/chany_top_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/chanx_right_in[8] -to fpga_top/sb_1__0_/chany_top_out[5] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/chanx_left_in[6] -to fpga_top/sb_1__0_/chany_top_out[5] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/chanx_left_in[5] -to fpga_top/sb_1__0_/chany_top_out[6] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/chanx_left_in[4] -to fpga_top/sb_1__0_/chany_top_out[7] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/chanx_left_in[2] -to fpga_top/sb_1__0_/chany_top_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/chanx_left_in[9] -to fpga_top/sb_1__0_/chany_top_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/chanx_right_in[0] -to fpga_top/sb_1__0_/chany_top_out[9] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/chanx_right_in[3] -to fpga_top/sb_1__0_/chany_top_out[9] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/chanx_left_in[1] -to fpga_top/sb_1__0_/chany_top_out[9] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/chanx_left_in[7] -to fpga_top/sb_1__0_/chany_top_out[9] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/chany_top_in[2] -to fpga_top/sb_1__0_/chanx_right_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/chany_top_in[5] -to fpga_top/sb_1__0_/chanx_right_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/chany_top_in[8] -to fpga_top/sb_1__0_/chanx_right_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/right_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_[0] -to fpga_top/sb_1__0_/chanx_right_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/right_bottom_grid_top_width_0_height_0_subtile_2__pin_inpad_0_[0] -to fpga_top/sb_1__0_/chanx_right_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/right_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_[0] -to fpga_top/sb_1__0_/chanx_right_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/chanx_left_in[0] -to fpga_top/sb_1__0_/chanx_right_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/chanx_left_in[4] -to fpga_top/sb_1__0_/chanx_right_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/chanx_left_in[8] -to fpga_top/sb_1__0_/chanx_right_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/chany_top_in[0] -to fpga_top/sb_1__0_/chanx_right_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/chany_top_in[3] -to fpga_top/sb_1__0_/chanx_right_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/chany_top_in[6] -to fpga_top/sb_1__0_/chanx_right_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/chany_top_in[9] -to fpga_top/sb_1__0_/chanx_right_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/right_bottom_grid_top_width_0_height_0_subtile_0__pin_inpad_0_[0] -to fpga_top/sb_1__0_/chanx_right_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/right_bottom_grid_top_width_0_height_0_subtile_3__pin_inpad_0_[0] -to fpga_top/sb_1__0_/chanx_right_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/right_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_[0] -to fpga_top/sb_1__0_/chanx_right_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/chanx_left_in[1] -to fpga_top/sb_1__0_/chanx_right_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/chanx_left_in[5] -to fpga_top/sb_1__0_/chanx_right_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/chany_top_in[1] -to fpga_top/sb_1__0_/chanx_right_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/chany_top_in[4] -to fpga_top/sb_1__0_/chanx_right_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/chany_top_in[7] -to fpga_top/sb_1__0_/chanx_right_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/right_bottom_grid_top_width_0_height_0_subtile_1__pin_inpad_0_[0] -to fpga_top/sb_1__0_/chanx_right_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/right_bottom_grid_top_width_0_height_0_subtile_4__pin_inpad_0_[0] -to fpga_top/sb_1__0_/chanx_right_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/right_bottom_grid_top_width_0_height_0_subtile_7__pin_inpad_0_[0] -to fpga_top/sb_1__0_/chanx_right_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/chanx_left_in[2] -to fpga_top/sb_1__0_/chanx_right_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/chanx_left_in[6] -to fpga_top/sb_1__0_/chanx_right_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/chany_top_in[0] -to fpga_top/sb_1__0_/chanx_left_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/chany_top_in[3] -to fpga_top/sb_1__0_/chanx_left_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/chany_top_in[6] -to fpga_top/sb_1__0_/chanx_left_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/chany_top_in[9] -to fpga_top/sb_1__0_/chanx_left_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/chanx_right_in[0] -to fpga_top/sb_1__0_/chanx_left_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/chanx_right_in[4] -to fpga_top/sb_1__0_/chanx_left_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/chanx_right_in[8] -to fpga_top/sb_1__0_/chanx_left_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_[0] -to fpga_top/sb_1__0_/chanx_left_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/left_bottom_grid_top_width_0_height_0_subtile_2__pin_inpad_0_[0] -to fpga_top/sb_1__0_/chanx_left_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/left_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_[0] -to fpga_top/sb_1__0_/chanx_left_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/chany_top_in[2] -to fpga_top/sb_1__0_/chanx_left_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/chany_top_in[5] -to fpga_top/sb_1__0_/chanx_left_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/chany_top_in[8] -to fpga_top/sb_1__0_/chanx_left_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/chanx_right_in[1] -to fpga_top/sb_1__0_/chanx_left_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/chanx_right_in[5] -to fpga_top/sb_1__0_/chanx_left_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/left_bottom_grid_top_width_0_height_0_subtile_0__pin_inpad_0_[0] -to fpga_top/sb_1__0_/chanx_left_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/left_bottom_grid_top_width_0_height_0_subtile_3__pin_inpad_0_[0] -to fpga_top/sb_1__0_/chanx_left_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/left_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_[0] -to fpga_top/sb_1__0_/chanx_left_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/chany_top_in[1] -to fpga_top/sb_1__0_/chanx_left_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/chany_top_in[4] -to fpga_top/sb_1__0_/chanx_left_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/chany_top_in[7] -to fpga_top/sb_1__0_/chanx_left_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/chanx_right_in[2] -to fpga_top/sb_1__0_/chanx_left_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/chanx_right_in[6] -to fpga_top/sb_1__0_/chanx_left_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/left_bottom_grid_top_width_0_height_0_subtile_1__pin_inpad_0_[0] -to fpga_top/sb_1__0_/chanx_left_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/left_bottom_grid_top_width_0_height_0_subtile_4__pin_inpad_0_[0] -to fpga_top/sb_1__0_/chanx_left_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__0_/left_bottom_grid_top_width_0_height_0_subtile_7__pin_inpad_0_[0] -to fpga_top/sb_1__0_/chanx_left_out[8] 6.020400151e-11 diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sb_1__1_.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sb_1__1_.sdc new file mode 100644 index 000000000..aff6115d0 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sb_1__1_.sdc @@ -0,0 +1,129 @@ +############################################# +# Synopsys Design Constraints (SDC) +# For FPGA fabric +# Description: Constrain timing of Switch Block sb_1__1_ for PnR +# Author: Xifan TANG +# Organization: University of Utah +############################################# + +############################################# +# Define time unit +############################################# +set_units -time s + +set_max_delay -from fpga_top/sb_1__1_/top_left_grid_right_width_0_height_0_subtile_0__pin_O_3_[0] -to fpga_top/sb_1__1_/chany_top_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chanx_right_in[1] -to fpga_top/sb_1__1_/chany_top_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chanx_right_in[5] -to fpga_top/sb_1__1_/chany_top_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chanx_right_in[7] -to fpga_top/sb_1__1_/chany_top_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chany_bottom_in[0] -to fpga_top/sb_1__1_/chany_top_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chany_bottom_in[4] -to fpga_top/sb_1__1_/chany_top_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chany_bottom_in[8] -to fpga_top/sb_1__1_/chany_top_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chanx_left_in[0] -to fpga_top/sb_1__1_/chany_top_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chanx_left_in[3] -to fpga_top/sb_1__1_/chany_top_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chanx_left_in[4] -to fpga_top/sb_1__1_/chany_top_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chanx_left_in[8] -to fpga_top/sb_1__1_/chany_top_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/top_right_grid_left_width_0_height_0_subtile_0__pin_O_1_[0] -to fpga_top/sb_1__1_/chany_top_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chanx_right_in[2] -to fpga_top/sb_1__1_/chany_top_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chanx_right_in[6] -to fpga_top/sb_1__1_/chany_top_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chanx_right_in[9] -to fpga_top/sb_1__1_/chany_top_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chany_bottom_in[1] -to fpga_top/sb_1__1_/chany_top_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chany_bottom_in[5] -to fpga_top/sb_1__1_/chany_top_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chanx_left_in[2] -to fpga_top/sb_1__1_/chany_top_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chanx_left_in[6] -to fpga_top/sb_1__1_/chany_top_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chanx_left_in[9] -to fpga_top/sb_1__1_/chany_top_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chanx_right_in[0] -to fpga_top/sb_1__1_/chany_top_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chanx_right_in[3] -to fpga_top/sb_1__1_/chany_top_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chanx_right_in[4] -to fpga_top/sb_1__1_/chany_top_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chanx_right_in[8] -to fpga_top/sb_1__1_/chany_top_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chany_bottom_in[2] -to fpga_top/sb_1__1_/chany_top_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chany_bottom_in[6] -to fpga_top/sb_1__1_/chany_top_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chanx_left_in[1] -to fpga_top/sb_1__1_/chany_top_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chanx_left_in[5] -to fpga_top/sb_1__1_/chany_top_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chanx_left_in[7] -to fpga_top/sb_1__1_/chany_top_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chany_top_in[2] -to fpga_top/sb_1__1_/chanx_right_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chany_top_in[6] -to fpga_top/sb_1__1_/chanx_right_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chany_top_in[9] -to fpga_top/sb_1__1_/chanx_right_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/right_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_[0] -to fpga_top/sb_1__1_/chanx_right_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chany_bottom_in[1] -to fpga_top/sb_1__1_/chanx_right_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chany_bottom_in[5] -to fpga_top/sb_1__1_/chanx_right_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chany_bottom_in[7] -to fpga_top/sb_1__1_/chanx_right_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chanx_left_in[0] -to fpga_top/sb_1__1_/chanx_right_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chanx_left_in[4] -to fpga_top/sb_1__1_/chanx_right_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chanx_left_in[8] -to fpga_top/sb_1__1_/chanx_right_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chany_top_in[0] -to fpga_top/sb_1__1_/chanx_right_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chany_top_in[3] -to fpga_top/sb_1__1_/chanx_right_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chany_top_in[4] -to fpga_top/sb_1__1_/chanx_right_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chany_top_in[8] -to fpga_top/sb_1__1_/chanx_right_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_[0] -to fpga_top/sb_1__1_/chanx_right_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chany_bottom_in[0] -to fpga_top/sb_1__1_/chanx_right_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chany_bottom_in[3] -to fpga_top/sb_1__1_/chanx_right_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chany_bottom_in[4] -to fpga_top/sb_1__1_/chanx_right_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chany_bottom_in[8] -to fpga_top/sb_1__1_/chanx_right_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chanx_left_in[1] -to fpga_top/sb_1__1_/chanx_right_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chanx_left_in[5] -to fpga_top/sb_1__1_/chanx_right_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chany_top_in[1] -to fpga_top/sb_1__1_/chanx_right_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chany_top_in[5] -to fpga_top/sb_1__1_/chanx_right_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chany_top_in[7] -to fpga_top/sb_1__1_/chanx_right_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chany_bottom_in[2] -to fpga_top/sb_1__1_/chanx_right_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chany_bottom_in[6] -to fpga_top/sb_1__1_/chanx_right_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chany_bottom_in[9] -to fpga_top/sb_1__1_/chanx_right_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chanx_left_in[2] -to fpga_top/sb_1__1_/chanx_right_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chanx_left_in[6] -to fpga_top/sb_1__1_/chanx_right_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chany_top_in[0] -to fpga_top/sb_1__1_/chany_bottom_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chany_top_in[4] -to fpga_top/sb_1__1_/chany_bottom_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chany_top_in[8] -to fpga_top/sb_1__1_/chany_bottom_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chanx_right_in[1] -to fpga_top/sb_1__1_/chany_bottom_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chanx_right_in[5] -to fpga_top/sb_1__1_/chany_bottom_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chanx_right_in[7] -to fpga_top/sb_1__1_/chany_bottom_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/bottom_right_grid_left_width_0_height_0_subtile_0__pin_O_1_[0] -to fpga_top/sb_1__1_/chany_bottom_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chanx_left_in[1] -to fpga_top/sb_1__1_/chany_bottom_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chanx_left_in[5] -to fpga_top/sb_1__1_/chany_bottom_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chanx_left_in[7] -to fpga_top/sb_1__1_/chany_bottom_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chany_top_in[1] -to fpga_top/sb_1__1_/chany_bottom_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chany_top_in[5] -to fpga_top/sb_1__1_/chany_bottom_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chanx_right_in[0] -to fpga_top/sb_1__1_/chany_bottom_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chanx_right_in[3] -to fpga_top/sb_1__1_/chany_bottom_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chanx_right_in[4] -to fpga_top/sb_1__1_/chany_bottom_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chanx_right_in[8] -to fpga_top/sb_1__1_/chany_bottom_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_3_[0] -to fpga_top/sb_1__1_/chany_bottom_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chanx_left_in[2] -to fpga_top/sb_1__1_/chany_bottom_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chanx_left_in[6] -to fpga_top/sb_1__1_/chany_bottom_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chanx_left_in[9] -to fpga_top/sb_1__1_/chany_bottom_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chany_top_in[2] -to fpga_top/sb_1__1_/chany_bottom_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chany_top_in[6] -to fpga_top/sb_1__1_/chany_bottom_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chanx_right_in[2] -to fpga_top/sb_1__1_/chany_bottom_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chanx_right_in[6] -to fpga_top/sb_1__1_/chany_bottom_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chanx_right_in[9] -to fpga_top/sb_1__1_/chany_bottom_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chanx_left_in[0] -to fpga_top/sb_1__1_/chany_bottom_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chanx_left_in[3] -to fpga_top/sb_1__1_/chany_bottom_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chanx_left_in[4] -to fpga_top/sb_1__1_/chany_bottom_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chanx_left_in[8] -to fpga_top/sb_1__1_/chany_bottom_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chany_top_in[0] -to fpga_top/sb_1__1_/chanx_left_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chany_top_in[3] -to fpga_top/sb_1__1_/chanx_left_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chany_top_in[4] -to fpga_top/sb_1__1_/chanx_left_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chany_top_in[8] -to fpga_top/sb_1__1_/chanx_left_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chanx_right_in[0] -to fpga_top/sb_1__1_/chanx_left_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chanx_right_in[4] -to fpga_top/sb_1__1_/chanx_left_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chanx_right_in[8] -to fpga_top/sb_1__1_/chanx_left_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chany_bottom_in[2] -to fpga_top/sb_1__1_/chanx_left_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chany_bottom_in[6] -to fpga_top/sb_1__1_/chanx_left_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chany_bottom_in[9] -to fpga_top/sb_1__1_/chanx_left_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_[0] -to fpga_top/sb_1__1_/chanx_left_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chany_top_in[2] -to fpga_top/sb_1__1_/chanx_left_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chany_top_in[6] -to fpga_top/sb_1__1_/chanx_left_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chany_top_in[9] -to fpga_top/sb_1__1_/chanx_left_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chanx_right_in[1] -to fpga_top/sb_1__1_/chanx_left_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chanx_right_in[5] -to fpga_top/sb_1__1_/chanx_left_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chany_bottom_in[0] -to fpga_top/sb_1__1_/chanx_left_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chany_bottom_in[3] -to fpga_top/sb_1__1_/chanx_left_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chany_bottom_in[4] -to fpga_top/sb_1__1_/chanx_left_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chany_bottom_in[8] -to fpga_top/sb_1__1_/chanx_left_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_[0] -to fpga_top/sb_1__1_/chanx_left_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chany_top_in[1] -to fpga_top/sb_1__1_/chanx_left_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chany_top_in[5] -to fpga_top/sb_1__1_/chanx_left_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chany_top_in[7] -to fpga_top/sb_1__1_/chanx_left_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chanx_right_in[2] -to fpga_top/sb_1__1_/chanx_left_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chanx_right_in[6] -to fpga_top/sb_1__1_/chanx_left_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chany_bottom_in[1] -to fpga_top/sb_1__1_/chanx_left_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chany_bottom_in[5] -to fpga_top/sb_1__1_/chanx_left_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__1_/chany_bottom_in[7] -to fpga_top/sb_1__1_/chanx_left_out[8] 6.020400151e-11 diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sb_1__4_.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sb_1__4_.sdc new file mode 100644 index 000000000..eeed257a4 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sb_1__4_.sdc @@ -0,0 +1,87 @@ +############################################# +# Synopsys Design Constraints (SDC) +# For FPGA fabric +# Description: Constrain timing of Switch Block sb_1__4_ for PnR +# Author: Xifan TANG +# Organization: University of Utah +############################################# + +############################################# +# Define time unit +############################################# +set_units -time s + +set_max_delay -from fpga_top/sb_1__4_/right_top_grid_bottom_width_0_height_0_subtile_0__pin_inpad_0_[0] -to fpga_top/sb_1__4_/chanx_right_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/right_top_grid_bottom_width_0_height_0_subtile_3__pin_inpad_0_[0] -to fpga_top/sb_1__4_/chanx_right_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/right_top_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_[0] -to fpga_top/sb_1__4_/chanx_right_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/chany_bottom_in[1] -to fpga_top/sb_1__4_/chanx_right_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/chany_bottom_in[4] -to fpga_top/sb_1__4_/chanx_right_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/chany_bottom_in[7] -to fpga_top/sb_1__4_/chanx_right_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/chanx_left_in[0] -to fpga_top/sb_1__4_/chanx_right_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/chanx_left_in[4] -to fpga_top/sb_1__4_/chanx_right_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/chanx_left_in[8] -to fpga_top/sb_1__4_/chanx_right_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/right_top_grid_bottom_width_0_height_0_subtile_1__pin_inpad_0_[0] -to fpga_top/sb_1__4_/chanx_right_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/right_top_grid_bottom_width_0_height_0_subtile_4__pin_inpad_0_[0] -to fpga_top/sb_1__4_/chanx_right_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/right_top_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_[0] -to fpga_top/sb_1__4_/chanx_right_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/chany_bottom_in[0] -to fpga_top/sb_1__4_/chanx_right_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/chany_bottom_in[3] -to fpga_top/sb_1__4_/chanx_right_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/chany_bottom_in[6] -to fpga_top/sb_1__4_/chanx_right_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/chany_bottom_in[9] -to fpga_top/sb_1__4_/chanx_right_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/chanx_left_in[1] -to fpga_top/sb_1__4_/chanx_right_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/chanx_left_in[5] -to fpga_top/sb_1__4_/chanx_right_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/right_top_grid_bottom_width_0_height_0_subtile_2__pin_inpad_0_[0] -to fpga_top/sb_1__4_/chanx_right_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/right_top_grid_bottom_width_0_height_0_subtile_5__pin_inpad_0_[0] -to fpga_top/sb_1__4_/chanx_right_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/right_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_[0] -to fpga_top/sb_1__4_/chanx_right_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/chany_bottom_in[2] -to fpga_top/sb_1__4_/chanx_right_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/chany_bottom_in[5] -to fpga_top/sb_1__4_/chanx_right_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/chany_bottom_in[8] -to fpga_top/sb_1__4_/chanx_right_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/chanx_left_in[2] -to fpga_top/sb_1__4_/chanx_right_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/chanx_left_in[6] -to fpga_top/sb_1__4_/chanx_right_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/bottom_right_grid_left_width_0_height_0_subtile_0__pin_O_1_[0] -to fpga_top/sb_1__4_/chany_bottom_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/chanx_left_in[1] -to fpga_top/sb_1__4_/chany_bottom_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/chanx_left_in[7] -to fpga_top/sb_1__4_/chany_bottom_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_3_[0] -to fpga_top/sb_1__4_/chany_bottom_out[1] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/chanx_left_in[2] -to fpga_top/sb_1__4_/chany_bottom_out[1] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/chanx_left_in[9] -to fpga_top/sb_1__4_/chany_bottom_out[1] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/chanx_right_in[8] -to fpga_top/sb_1__4_/chany_bottom_out[2] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/chanx_left_in[4] -to fpga_top/sb_1__4_/chany_bottom_out[2] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/chanx_right_in[6] -to fpga_top/sb_1__4_/chany_bottom_out[3] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/chanx_left_in[5] -to fpga_top/sb_1__4_/chany_bottom_out[3] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/chanx_right_in[5] -to fpga_top/sb_1__4_/chany_bottom_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/chanx_left_in[6] -to fpga_top/sb_1__4_/chany_bottom_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/chanx_right_in[4] -to fpga_top/sb_1__4_/chany_bottom_out[5] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/chanx_left_in[8] -to fpga_top/sb_1__4_/chany_bottom_out[5] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/chanx_right_in[2] -to fpga_top/sb_1__4_/chany_bottom_out[6] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/chanx_right_in[9] -to fpga_top/sb_1__4_/chany_bottom_out[6] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/chanx_right_in[1] -to fpga_top/sb_1__4_/chany_bottom_out[7] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/chanx_right_in[7] -to fpga_top/sb_1__4_/chany_bottom_out[7] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/chanx_right_in[0] -to fpga_top/sb_1__4_/chany_bottom_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/chanx_right_in[3] -to fpga_top/sb_1__4_/chany_bottom_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/chanx_left_in[0] -to fpga_top/sb_1__4_/chany_bottom_out[9] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/chanx_left_in[3] -to fpga_top/sb_1__4_/chany_bottom_out[9] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/chanx_right_in[0] -to fpga_top/sb_1__4_/chanx_left_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/chanx_right_in[4] -to fpga_top/sb_1__4_/chanx_left_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/chanx_right_in[8] -to fpga_top/sb_1__4_/chanx_left_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/chany_bottom_in[2] -to fpga_top/sb_1__4_/chanx_left_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/chany_bottom_in[5] -to fpga_top/sb_1__4_/chanx_left_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/chany_bottom_in[8] -to fpga_top/sb_1__4_/chanx_left_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/left_top_grid_bottom_width_0_height_0_subtile_0__pin_inpad_0_[0] -to fpga_top/sb_1__4_/chanx_left_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/left_top_grid_bottom_width_0_height_0_subtile_3__pin_inpad_0_[0] -to fpga_top/sb_1__4_/chanx_left_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/left_top_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_[0] -to fpga_top/sb_1__4_/chanx_left_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/chanx_right_in[1] -to fpga_top/sb_1__4_/chanx_left_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/chanx_right_in[5] -to fpga_top/sb_1__4_/chanx_left_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/chany_bottom_in[0] -to fpga_top/sb_1__4_/chanx_left_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/chany_bottom_in[3] -to fpga_top/sb_1__4_/chanx_left_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/chany_bottom_in[6] -to fpga_top/sb_1__4_/chanx_left_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/chany_bottom_in[9] -to fpga_top/sb_1__4_/chanx_left_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/left_top_grid_bottom_width_0_height_0_subtile_1__pin_inpad_0_[0] -to fpga_top/sb_1__4_/chanx_left_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/left_top_grid_bottom_width_0_height_0_subtile_4__pin_inpad_0_[0] -to fpga_top/sb_1__4_/chanx_left_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/left_top_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_[0] -to fpga_top/sb_1__4_/chanx_left_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/chanx_right_in[2] -to fpga_top/sb_1__4_/chanx_left_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/chanx_right_in[6] -to fpga_top/sb_1__4_/chanx_left_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/chany_bottom_in[1] -to fpga_top/sb_1__4_/chanx_left_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/chany_bottom_in[4] -to fpga_top/sb_1__4_/chanx_left_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/chany_bottom_in[7] -to fpga_top/sb_1__4_/chanx_left_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/left_top_grid_bottom_width_0_height_0_subtile_2__pin_inpad_0_[0] -to fpga_top/sb_1__4_/chanx_left_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/left_top_grid_bottom_width_0_height_0_subtile_5__pin_inpad_0_[0] -to fpga_top/sb_1__4_/chanx_left_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_1__4_/left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_[0] -to fpga_top/sb_1__4_/chanx_left_out[8] 6.020400151e-11 diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sb_4__0_.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sb_4__0_.sdc new file mode 100644 index 000000000..3758c43b5 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sb_4__0_.sdc @@ -0,0 +1,51 @@ +############################################# +# Synopsys Design Constraints (SDC) +# For FPGA fabric +# Description: Constrain timing of Switch Block sb_4__0_ for PnR +# Author: Xifan TANG +# Organization: University of Utah +############################################# + +############################################# +# Define time unit +############################################# +set_units -time s + +set_max_delay -from fpga_top/sb_4__0_/top_left_grid_right_width_0_height_0_subtile_0__pin_O_3_[0] -to fpga_top/sb_4__0_/chany_top_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__0_/chanx_left_in[0] -to fpga_top/sb_4__0_/chany_top_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__0_/top_right_grid_left_width_0_height_0_subtile_0__pin_inpad_0_[0] -to fpga_top/sb_4__0_/chany_top_out[1] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__0_/chanx_left_in[9] -to fpga_top/sb_4__0_/chany_top_out[1] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__0_/top_right_grid_left_width_0_height_0_subtile_1__pin_inpad_0_[0] -to fpga_top/sb_4__0_/chany_top_out[2] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__0_/chanx_left_in[8] -to fpga_top/sb_4__0_/chany_top_out[2] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__0_/top_right_grid_left_width_0_height_0_subtile_2__pin_inpad_0_[0] -to fpga_top/sb_4__0_/chany_top_out[3] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__0_/chanx_left_in[7] -to fpga_top/sb_4__0_/chany_top_out[3] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__0_/top_right_grid_left_width_0_height_0_subtile_3__pin_inpad_0_[0] -to fpga_top/sb_4__0_/chany_top_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__0_/chanx_left_in[6] -to fpga_top/sb_4__0_/chany_top_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__0_/top_right_grid_left_width_0_height_0_subtile_4__pin_inpad_0_[0] -to fpga_top/sb_4__0_/chany_top_out[5] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__0_/chanx_left_in[5] -to fpga_top/sb_4__0_/chany_top_out[5] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__0_/top_right_grid_left_width_0_height_0_subtile_5__pin_inpad_0_[0] -to fpga_top/sb_4__0_/chany_top_out[6] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__0_/chanx_left_in[4] -to fpga_top/sb_4__0_/chany_top_out[6] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__0_/top_right_grid_left_width_0_height_0_subtile_6__pin_inpad_0_[0] -to fpga_top/sb_4__0_/chany_top_out[7] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__0_/chanx_left_in[3] -to fpga_top/sb_4__0_/chany_top_out[7] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__0_/top_right_grid_left_width_0_height_0_subtile_7__pin_inpad_0_[0] -to fpga_top/sb_4__0_/chany_top_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__0_/chanx_left_in[2] -to fpga_top/sb_4__0_/chany_top_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__0_/chanx_left_in[1] -to fpga_top/sb_4__0_/chany_top_out[9] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__0_/chany_top_in[0] -to fpga_top/sb_4__0_/chanx_left_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__0_/left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_[0] -to fpga_top/sb_4__0_/chanx_left_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__0_/chany_top_in[9] -to fpga_top/sb_4__0_/chanx_left_out[1] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__0_/left_bottom_grid_top_width_0_height_0_subtile_0__pin_inpad_0_[0] -to fpga_top/sb_4__0_/chanx_left_out[1] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__0_/chany_top_in[8] -to fpga_top/sb_4__0_/chanx_left_out[2] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__0_/left_bottom_grid_top_width_0_height_0_subtile_1__pin_inpad_0_[0] -to fpga_top/sb_4__0_/chanx_left_out[2] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__0_/chany_top_in[7] -to fpga_top/sb_4__0_/chanx_left_out[3] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__0_/left_bottom_grid_top_width_0_height_0_subtile_2__pin_inpad_0_[0] -to fpga_top/sb_4__0_/chanx_left_out[3] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__0_/chany_top_in[6] -to fpga_top/sb_4__0_/chanx_left_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__0_/left_bottom_grid_top_width_0_height_0_subtile_3__pin_inpad_0_[0] -to fpga_top/sb_4__0_/chanx_left_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__0_/chany_top_in[5] -to fpga_top/sb_4__0_/chanx_left_out[5] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__0_/left_bottom_grid_top_width_0_height_0_subtile_4__pin_inpad_0_[0] -to fpga_top/sb_4__0_/chanx_left_out[5] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__0_/chany_top_in[4] -to fpga_top/sb_4__0_/chanx_left_out[6] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__0_/left_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_[0] -to fpga_top/sb_4__0_/chanx_left_out[6] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__0_/chany_top_in[3] -to fpga_top/sb_4__0_/chanx_left_out[7] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__0_/left_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_[0] -to fpga_top/sb_4__0_/chanx_left_out[7] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__0_/chany_top_in[2] -to fpga_top/sb_4__0_/chanx_left_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__0_/left_bottom_grid_top_width_0_height_0_subtile_7__pin_inpad_0_[0] -to fpga_top/sb_4__0_/chanx_left_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__0_/chany_top_in[1] -to fpga_top/sb_4__0_/chanx_left_out[9] 6.020400151e-11 diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sb_4__1_.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sb_4__1_.sdc new file mode 100644 index 000000000..32974fad0 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sb_4__1_.sdc @@ -0,0 +1,87 @@ +############################################# +# Synopsys Design Constraints (SDC) +# For FPGA fabric +# Description: Constrain timing of Switch Block sb_4__1_ for PnR +# Author: Xifan TANG +# Organization: University of Utah +############################################# + +############################################# +# Define time unit +############################################# +set_units -time s + +set_max_delay -from fpga_top/sb_4__1_/top_left_grid_right_width_0_height_0_subtile_0__pin_O_3_[0] -to fpga_top/sb_4__1_/chany_top_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/top_right_grid_left_width_0_height_0_subtile_2__pin_inpad_0_[0] -to fpga_top/sb_4__1_/chany_top_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/top_right_grid_left_width_0_height_0_subtile_5__pin_inpad_0_[0] -to fpga_top/sb_4__1_/chany_top_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/chany_bottom_in[0] -to fpga_top/sb_4__1_/chany_top_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/chany_bottom_in[4] -to fpga_top/sb_4__1_/chany_top_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/chany_bottom_in[8] -to fpga_top/sb_4__1_/chany_top_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/chanx_left_in[0] -to fpga_top/sb_4__1_/chany_top_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/chanx_left_in[3] -to fpga_top/sb_4__1_/chany_top_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/chanx_left_in[6] -to fpga_top/sb_4__1_/chany_top_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/chanx_left_in[9] -to fpga_top/sb_4__1_/chany_top_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/top_right_grid_left_width_0_height_0_subtile_0__pin_inpad_0_[0] -to fpga_top/sb_4__1_/chany_top_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/top_right_grid_left_width_0_height_0_subtile_3__pin_inpad_0_[0] -to fpga_top/sb_4__1_/chany_top_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/top_right_grid_left_width_0_height_0_subtile_6__pin_inpad_0_[0] -to fpga_top/sb_4__1_/chany_top_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/chany_bottom_in[1] -to fpga_top/sb_4__1_/chany_top_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/chany_bottom_in[5] -to fpga_top/sb_4__1_/chany_top_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/chanx_left_in[2] -to fpga_top/sb_4__1_/chany_top_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/chanx_left_in[5] -to fpga_top/sb_4__1_/chany_top_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/chanx_left_in[8] -to fpga_top/sb_4__1_/chany_top_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/top_right_grid_left_width_0_height_0_subtile_1__pin_inpad_0_[0] -to fpga_top/sb_4__1_/chany_top_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/top_right_grid_left_width_0_height_0_subtile_4__pin_inpad_0_[0] -to fpga_top/sb_4__1_/chany_top_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/top_right_grid_left_width_0_height_0_subtile_7__pin_inpad_0_[0] -to fpga_top/sb_4__1_/chany_top_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/chany_bottom_in[2] -to fpga_top/sb_4__1_/chany_top_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/chany_bottom_in[6] -to fpga_top/sb_4__1_/chany_top_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/chanx_left_in[1] -to fpga_top/sb_4__1_/chany_top_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/chanx_left_in[4] -to fpga_top/sb_4__1_/chany_top_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/chanx_left_in[7] -to fpga_top/sb_4__1_/chany_top_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/chany_top_in[0] -to fpga_top/sb_4__1_/chany_bottom_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/chany_top_in[4] -to fpga_top/sb_4__1_/chany_bottom_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/chany_top_in[8] -to fpga_top/sb_4__1_/chany_bottom_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/bottom_right_grid_left_width_0_height_0_subtile_0__pin_inpad_0_[0] -to fpga_top/sb_4__1_/chany_bottom_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/bottom_right_grid_left_width_0_height_0_subtile_3__pin_inpad_0_[0] -to fpga_top/sb_4__1_/chany_bottom_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/bottom_right_grid_left_width_0_height_0_subtile_6__pin_inpad_0_[0] -to fpga_top/sb_4__1_/chany_bottom_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/chanx_left_in[1] -to fpga_top/sb_4__1_/chany_bottom_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/chanx_left_in[4] -to fpga_top/sb_4__1_/chany_bottom_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/chanx_left_in[7] -to fpga_top/sb_4__1_/chany_bottom_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/chany_top_in[1] -to fpga_top/sb_4__1_/chany_bottom_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/chany_top_in[5] -to fpga_top/sb_4__1_/chany_bottom_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/bottom_right_grid_left_width_0_height_0_subtile_1__pin_inpad_0_[0] -to fpga_top/sb_4__1_/chany_bottom_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/bottom_right_grid_left_width_0_height_0_subtile_4__pin_inpad_0_[0] -to fpga_top/sb_4__1_/chany_bottom_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/bottom_right_grid_left_width_0_height_0_subtile_7__pin_inpad_0_[0] -to fpga_top/sb_4__1_/chany_bottom_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/chanx_left_in[2] -to fpga_top/sb_4__1_/chany_bottom_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/chanx_left_in[5] -to fpga_top/sb_4__1_/chany_bottom_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/chanx_left_in[8] -to fpga_top/sb_4__1_/chany_bottom_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/chany_top_in[2] -to fpga_top/sb_4__1_/chany_bottom_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/chany_top_in[6] -to fpga_top/sb_4__1_/chany_bottom_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/bottom_right_grid_left_width_0_height_0_subtile_2__pin_inpad_0_[0] -to fpga_top/sb_4__1_/chany_bottom_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/bottom_right_grid_left_width_0_height_0_subtile_5__pin_inpad_0_[0] -to fpga_top/sb_4__1_/chany_bottom_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_3_[0] -to fpga_top/sb_4__1_/chany_bottom_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/chanx_left_in[0] -to fpga_top/sb_4__1_/chany_bottom_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/chanx_left_in[3] -to fpga_top/sb_4__1_/chany_bottom_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/chanx_left_in[6] -to fpga_top/sb_4__1_/chany_bottom_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/chanx_left_in[9] -to fpga_top/sb_4__1_/chany_bottom_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/chany_top_in[0] -to fpga_top/sb_4__1_/chanx_left_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/chany_top_in[3] -to fpga_top/sb_4__1_/chanx_left_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_[0] -to fpga_top/sb_4__1_/chanx_left_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/chany_bottom_in[0] -to fpga_top/sb_4__1_/chanx_left_out[1] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/chany_bottom_in[3] -to fpga_top/sb_4__1_/chanx_left_out[1] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_[0] -to fpga_top/sb_4__1_/chanx_left_out[1] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/chany_bottom_in[1] -to fpga_top/sb_4__1_/chanx_left_out[2] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/chany_bottom_in[7] -to fpga_top/sb_4__1_/chanx_left_out[2] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/chany_bottom_in[2] -to fpga_top/sb_4__1_/chanx_left_out[3] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/chany_bottom_in[9] -to fpga_top/sb_4__1_/chanx_left_out[3] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/chany_top_in[8] -to fpga_top/sb_4__1_/chanx_left_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/chany_bottom_in[4] -to fpga_top/sb_4__1_/chanx_left_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/chany_top_in[6] -to fpga_top/sb_4__1_/chanx_left_out[5] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/chany_bottom_in[5] -to fpga_top/sb_4__1_/chanx_left_out[5] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/chany_top_in[5] -to fpga_top/sb_4__1_/chanx_left_out[6] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/chany_bottom_in[6] -to fpga_top/sb_4__1_/chanx_left_out[6] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/chany_top_in[4] -to fpga_top/sb_4__1_/chanx_left_out[7] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/chany_bottom_in[8] -to fpga_top/sb_4__1_/chanx_left_out[7] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/chany_top_in[2] -to fpga_top/sb_4__1_/chanx_left_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/chany_top_in[9] -to fpga_top/sb_4__1_/chanx_left_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/chany_top_in[1] -to fpga_top/sb_4__1_/chanx_left_out[9] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__1_/chany_top_in[7] -to fpga_top/sb_4__1_/chanx_left_out[9] 6.020400151e-11 diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sb_4__4_.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sb_4__4_.sdc new file mode 100644 index 000000000..51501a4a7 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sb_4__4_.sdc @@ -0,0 +1,51 @@ +############################################# +# Synopsys Design Constraints (SDC) +# For FPGA fabric +# Description: Constrain timing of Switch Block sb_4__4_ for PnR +# Author: Xifan TANG +# Organization: University of Utah +############################################# + +############################################# +# Define time unit +############################################# +set_units -time s + +set_max_delay -from fpga_top/sb_4__4_/bottom_right_grid_left_width_0_height_0_subtile_0__pin_inpad_0_[0] -to fpga_top/sb_4__4_/chany_bottom_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__4_/chanx_left_in[1] -to fpga_top/sb_4__4_/chany_bottom_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__4_/bottom_right_grid_left_width_0_height_0_subtile_1__pin_inpad_0_[0] -to fpga_top/sb_4__4_/chany_bottom_out[1] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__4_/chanx_left_in[2] -to fpga_top/sb_4__4_/chany_bottom_out[1] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__4_/bottom_right_grid_left_width_0_height_0_subtile_2__pin_inpad_0_[0] -to fpga_top/sb_4__4_/chany_bottom_out[2] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__4_/chanx_left_in[3] -to fpga_top/sb_4__4_/chany_bottom_out[2] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__4_/bottom_right_grid_left_width_0_height_0_subtile_3__pin_inpad_0_[0] -to fpga_top/sb_4__4_/chany_bottom_out[3] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__4_/chanx_left_in[4] -to fpga_top/sb_4__4_/chany_bottom_out[3] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__4_/bottom_right_grid_left_width_0_height_0_subtile_4__pin_inpad_0_[0] -to fpga_top/sb_4__4_/chany_bottom_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__4_/chanx_left_in[5] -to fpga_top/sb_4__4_/chany_bottom_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__4_/bottom_right_grid_left_width_0_height_0_subtile_5__pin_inpad_0_[0] -to fpga_top/sb_4__4_/chany_bottom_out[5] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__4_/chanx_left_in[6] -to fpga_top/sb_4__4_/chany_bottom_out[5] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__4_/bottom_right_grid_left_width_0_height_0_subtile_6__pin_inpad_0_[0] -to fpga_top/sb_4__4_/chany_bottom_out[6] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__4_/chanx_left_in[7] -to fpga_top/sb_4__4_/chany_bottom_out[6] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__4_/bottom_right_grid_left_width_0_height_0_subtile_7__pin_inpad_0_[0] -to fpga_top/sb_4__4_/chany_bottom_out[7] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__4_/chanx_left_in[8] -to fpga_top/sb_4__4_/chany_bottom_out[7] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__4_/bottom_left_grid_right_width_0_height_0_subtile_0__pin_O_3_[0] -to fpga_top/sb_4__4_/chany_bottom_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__4_/chanx_left_in[9] -to fpga_top/sb_4__4_/chany_bottom_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__4_/chanx_left_in[0] -to fpga_top/sb_4__4_/chany_bottom_out[9] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__4_/chany_bottom_in[9] -to fpga_top/sb_4__4_/chanx_left_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__4_/left_top_grid_bottom_width_0_height_0_subtile_0__pin_inpad_0_[0] -to fpga_top/sb_4__4_/chanx_left_out[0] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__4_/chany_bottom_in[0] -to fpga_top/sb_4__4_/chanx_left_out[1] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__4_/left_top_grid_bottom_width_0_height_0_subtile_1__pin_inpad_0_[0] -to fpga_top/sb_4__4_/chanx_left_out[1] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__4_/chany_bottom_in[1] -to fpga_top/sb_4__4_/chanx_left_out[2] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__4_/left_top_grid_bottom_width_0_height_0_subtile_2__pin_inpad_0_[0] -to fpga_top/sb_4__4_/chanx_left_out[2] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__4_/chany_bottom_in[2] -to fpga_top/sb_4__4_/chanx_left_out[3] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__4_/left_top_grid_bottom_width_0_height_0_subtile_3__pin_inpad_0_[0] -to fpga_top/sb_4__4_/chanx_left_out[3] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__4_/chany_bottom_in[3] -to fpga_top/sb_4__4_/chanx_left_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__4_/left_top_grid_bottom_width_0_height_0_subtile_4__pin_inpad_0_[0] -to fpga_top/sb_4__4_/chanx_left_out[4] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__4_/chany_bottom_in[4] -to fpga_top/sb_4__4_/chanx_left_out[5] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__4_/left_top_grid_bottom_width_0_height_0_subtile_5__pin_inpad_0_[0] -to fpga_top/sb_4__4_/chanx_left_out[5] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__4_/chany_bottom_in[5] -to fpga_top/sb_4__4_/chanx_left_out[6] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__4_/left_top_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_[0] -to fpga_top/sb_4__4_/chanx_left_out[6] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__4_/chany_bottom_in[6] -to fpga_top/sb_4__4_/chanx_left_out[7] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__4_/left_top_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_[0] -to fpga_top/sb_4__4_/chanx_left_out[7] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__4_/chany_bottom_in[7] -to fpga_top/sb_4__4_/chanx_left_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__4_/left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_[0] -to fpga_top/sb_4__4_/chanx_left_out[8] 6.020400151e-11 +set_max_delay -from fpga_top/sb_4__4_/chany_bottom_in[8] -to fpga_top/sb_4__4_/chanx_left_out[9] 6.020400151e-11 diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/arch_encoder.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/arch_encoder.v new file mode 100644 index 000000000..6a7ec3ee0 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/arch_encoder.v @@ -0,0 +1,9 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Decoders for fabric configuration protocol +// Author: Xifan TANG +// Organization: University of Utah +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/inv_buf_passgate.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/inv_buf_passgate.v new file mode 100644 index 000000000..43295dbf2 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/inv_buf_passgate.v @@ -0,0 +1,196 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Essential gates +// Author: Xifan TANG +// Organization: University of Utah +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for const0 ----- +module const0(const0); +//----- OUTPUT PORTS ----- +output [0:0] const0; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + assign const0[0] = 1'b0; +endmodule +// ----- END Verilog module for const0 ----- + +//----- Default net type ----- +`default_nettype none + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for const1 ----- +module const1(const1); +//----- OUTPUT PORTS ----- +output [0:0] const1; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + assign const1[0] = 1'b1; +endmodule +// ----- END Verilog module for const1 ----- + +//----- Default net type ----- +`default_nettype none + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for INVTX1 ----- +module INVTX1(in, + out); +//----- INPUT PORTS ----- +input [0:0] in; +//----- OUTPUT PORTS ----- +output [0:0] out; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + +// ----- Verilog codes of a regular inverter ----- + assign out = (in === 1'bz)? $random : ~in; + +`ifdef ENABLE_TIMING +// ------ BEGIN Pin-to-pin Timing constraints ----- + specify + (in => out) = (0.01, 0.01); + endspecify +// ------ END Pin-to-pin Timing constraints ----- +`endif +endmodule +// ----- END Verilog module for INVTX1 ----- + +//----- Default net type ----- +`default_nettype none + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for buf4 ----- +module buf4(in, + out); +//----- INPUT PORTS ----- +input [0:0] in; +//----- OUTPUT PORTS ----- +output [0:0] out; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + +// ----- Verilog codes of a regular inverter ----- + assign out = (in === 1'bz)? $random : in; + +`ifdef ENABLE_TIMING +// ------ BEGIN Pin-to-pin Timing constraints ----- + specify + (in => out) = (0.01, 0.01); + endspecify +// ------ END Pin-to-pin Timing constraints ----- +`endif +endmodule +// ----- END Verilog module for buf4 ----- + +//----- Default net type ----- +`default_nettype none + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for tap_buf4 ----- +module tap_buf4(in, + out); +//----- INPUT PORTS ----- +input [0:0] in; +//----- OUTPUT PORTS ----- +output [0:0] out; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + +// ----- Verilog codes of a regular inverter ----- + assign out = (in === 1'bz)? $random : ~in; + +`ifdef ENABLE_TIMING +// ------ BEGIN Pin-to-pin Timing constraints ----- + specify + (in => out) = (0.01, 0.01); + endspecify +// ------ END Pin-to-pin Timing constraints ----- +`endif +endmodule +// ----- END Verilog module for tap_buf4 ----- + +//----- Default net type ----- +`default_nettype none + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for TGATE ----- +module TGATE(in, + sel, + selb, + out); +//----- INPUT PORTS ----- +input [0:0] in; +//----- INPUT PORTS ----- +input [0:0] sel; +//----- INPUT PORTS ----- +input [0:0] selb; +//----- OUTPUT PORTS ----- +output [0:0] out; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + assign out = sel ? in : 1'bz; + +`ifdef ENABLE_TIMING +// ------ BEGIN Pin-to-pin Timing constraints ----- + specify + (in => out) = (0.01, 0.01); + (sel => out) = (0.005, 0.005); + (selb => out) = (0.005, 0.005); + endspecify +// ------ END Pin-to-pin Timing constraints ----- +`endif +endmodule +// ----- END Verilog module for TGATE ----- + +//----- Default net type ----- +`default_nettype none + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/local_encoder.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/local_encoder.v new file mode 100644 index 000000000..63dca3f3d --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/local_encoder.v @@ -0,0 +1,9 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Local Decoders for Multiplexers +// Author: Xifan TANG +// Organization: University of Utah +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/luts.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/luts.v new file mode 100644 index 000000000..ad96417b8 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/luts.v @@ -0,0 +1,96 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Look-Up Tables +// Author: Xifan TANG +// Organization: University of Utah +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for lut4 ----- +module lut4(in, + sram, + sram_inv, + out); +//----- INPUT PORTS ----- +input [0:3] in; +//----- INPUT PORTS ----- +input [0:15] sram; +//----- INPUT PORTS ----- +input [0:15] sram_inv; +//----- OUTPUT PORTS ----- +output [0:0] out; + +//----- BEGIN wire-connection ports ----- +wire [0:3] in; +wire [0:0] out; +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:0] INVTX1_0_out; +wire [0:0] INVTX1_1_out; +wire [0:0] INVTX1_2_out; +wire [0:0] INVTX1_3_out; +wire [0:0] buf4_0_out; +wire [0:0] buf4_1_out; +wire [0:0] buf4_2_out; +wire [0:0] buf4_3_out; + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + INVTX1 INVTX1_0_ ( + .in(in[0]), + .out(INVTX1_0_out)); + + INVTX1 INVTX1_1_ ( + .in(in[1]), + .out(INVTX1_1_out)); + + INVTX1 INVTX1_2_ ( + .in(in[2]), + .out(INVTX1_2_out)); + + INVTX1 INVTX1_3_ ( + .in(in[3]), + .out(INVTX1_3_out)); + + buf4 buf4_0_ ( + .in(in[0]), + .out(buf4_0_out)); + + buf4 buf4_1_ ( + .in(in[1]), + .out(buf4_1_out)); + + buf4 buf4_2_ ( + .in(in[2]), + .out(buf4_2_out)); + + buf4 buf4_3_ ( + .in(in[3]), + .out(buf4_3_out)); + + lut4_mux lut4_mux_0_ ( + .in(sram[0:15]), + .sram({buf4_0_out, buf4_1_out, buf4_2_out, buf4_3_out}), + .sram_inv({INVTX1_0_out, INVTX1_1_out, INVTX1_2_out, INVTX1_3_out}), + .out(out)); + +endmodule +// ----- END Verilog module for lut4 ----- + +//----- Default net type ----- +`default_nettype none + + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/memories.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/memories.v new file mode 100644 index 000000000..b76593430 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/memories.v @@ -0,0 +1,775 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Memories used in FPGA +// Author: Xifan TANG +// Organization: University of Utah +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for mux_tree_tapbuf_size4_mem ----- +module mux_tree_tapbuf_size4_mem(prog_clk, + ccff_head, + ccff_tail, + mem_out, + mem_outb); +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; +//----- OUTPUT PORTS ----- +output [0:2] mem_out; +//----- OUTPUT PORTS ----- +output [0:2] mem_outb; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- + assign ccff_tail[0] = mem_out[2]; +// ----- END Local output short connections ----- + + DFF DFF_0_ ( + .CK(prog_clk), + .D(ccff_head), + .Q(mem_out[0]), + .QN(mem_outb[0])); + + DFF DFF_1_ ( + .CK(prog_clk), + .D(mem_out[0]), + .Q(mem_out[1]), + .QN(mem_outb[1])); + + DFF DFF_2_ ( + .CK(prog_clk), + .D(mem_out[1]), + .Q(mem_out[2]), + .QN(mem_outb[2])); + +endmodule +// ----- END Verilog module for mux_tree_tapbuf_size4_mem ----- + +//----- Default net type ----- +`default_nettype none + + + + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for mux_tree_tapbuf_size2_mem ----- +module mux_tree_tapbuf_size2_mem(prog_clk, + ccff_head, + ccff_tail, + mem_out, + mem_outb); +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; +//----- OUTPUT PORTS ----- +output [0:1] mem_out; +//----- OUTPUT PORTS ----- +output [0:1] mem_outb; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- + assign ccff_tail[0] = mem_out[1]; +// ----- END Local output short connections ----- + + DFF DFF_0_ ( + .CK(prog_clk), + .D(ccff_head), + .Q(mem_out[0]), + .QN(mem_outb[0])); + + DFF DFF_1_ ( + .CK(prog_clk), + .D(mem_out[0]), + .Q(mem_out[1]), + .QN(mem_outb[1])); + +endmodule +// ----- END Verilog module for mux_tree_tapbuf_size2_mem ----- + +//----- Default net type ----- +`default_nettype none + + + + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for mux_tree_tapbuf_size10_mem ----- +module mux_tree_tapbuf_size10_mem(prog_clk, + ccff_head, + ccff_tail, + mem_out, + mem_outb); +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; +//----- OUTPUT PORTS ----- +output [0:3] mem_out; +//----- OUTPUT PORTS ----- +output [0:3] mem_outb; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- + assign ccff_tail[0] = mem_out[3]; +// ----- END Local output short connections ----- + + DFF DFF_0_ ( + .CK(prog_clk), + .D(ccff_head), + .Q(mem_out[0]), + .QN(mem_outb[0])); + + DFF DFF_1_ ( + .CK(prog_clk), + .D(mem_out[0]), + .Q(mem_out[1]), + .QN(mem_outb[1])); + + DFF DFF_2_ ( + .CK(prog_clk), + .D(mem_out[1]), + .Q(mem_out[2]), + .QN(mem_outb[2])); + + DFF DFF_3_ ( + .CK(prog_clk), + .D(mem_out[2]), + .Q(mem_out[3]), + .QN(mem_outb[3])); + +endmodule +// ----- END Verilog module for mux_tree_tapbuf_size10_mem ----- + +//----- Default net type ----- +`default_nettype none + + + + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for mux_tree_tapbuf_size8_mem ----- +module mux_tree_tapbuf_size8_mem(prog_clk, + ccff_head, + ccff_tail, + mem_out, + mem_outb); +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; +//----- OUTPUT PORTS ----- +output [0:3] mem_out; +//----- OUTPUT PORTS ----- +output [0:3] mem_outb; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- + assign ccff_tail[0] = mem_out[3]; +// ----- END Local output short connections ----- + + DFF DFF_0_ ( + .CK(prog_clk), + .D(ccff_head), + .Q(mem_out[0]), + .QN(mem_outb[0])); + + DFF DFF_1_ ( + .CK(prog_clk), + .D(mem_out[0]), + .Q(mem_out[1]), + .QN(mem_outb[1])); + + DFF DFF_2_ ( + .CK(prog_clk), + .D(mem_out[1]), + .Q(mem_out[2]), + .QN(mem_outb[2])); + + DFF DFF_3_ ( + .CK(prog_clk), + .D(mem_out[2]), + .Q(mem_out[3]), + .QN(mem_outb[3])); + +endmodule +// ----- END Verilog module for mux_tree_tapbuf_size8_mem ----- + +//----- Default net type ----- +`default_nettype none + + + + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for mux_tree_tapbuf_size9_mem ----- +module mux_tree_tapbuf_size9_mem(prog_clk, + ccff_head, + ccff_tail, + mem_out, + mem_outb); +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; +//----- OUTPUT PORTS ----- +output [0:3] mem_out; +//----- OUTPUT PORTS ----- +output [0:3] mem_outb; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- + assign ccff_tail[0] = mem_out[3]; +// ----- END Local output short connections ----- + + DFF DFF_0_ ( + .CK(prog_clk), + .D(ccff_head), + .Q(mem_out[0]), + .QN(mem_outb[0])); + + DFF DFF_1_ ( + .CK(prog_clk), + .D(mem_out[0]), + .Q(mem_out[1]), + .QN(mem_outb[1])); + + DFF DFF_2_ ( + .CK(prog_clk), + .D(mem_out[1]), + .Q(mem_out[2]), + .QN(mem_outb[2])); + + DFF DFF_3_ ( + .CK(prog_clk), + .D(mem_out[2]), + .Q(mem_out[3]), + .QN(mem_outb[3])); + +endmodule +// ----- END Verilog module for mux_tree_tapbuf_size9_mem ----- + +//----- Default net type ----- +`default_nettype none + + + + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for mux_tree_tapbuf_size11_mem ----- +module mux_tree_tapbuf_size11_mem(prog_clk, + ccff_head, + ccff_tail, + mem_out, + mem_outb); +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; +//----- OUTPUT PORTS ----- +output [0:3] mem_out; +//----- OUTPUT PORTS ----- +output [0:3] mem_outb; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- + assign ccff_tail[0] = mem_out[3]; +// ----- END Local output short connections ----- + + DFF DFF_0_ ( + .CK(prog_clk), + .D(ccff_head), + .Q(mem_out[0]), + .QN(mem_outb[0])); + + DFF DFF_1_ ( + .CK(prog_clk), + .D(mem_out[0]), + .Q(mem_out[1]), + .QN(mem_outb[1])); + + DFF DFF_2_ ( + .CK(prog_clk), + .D(mem_out[1]), + .Q(mem_out[2]), + .QN(mem_outb[2])); + + DFF DFF_3_ ( + .CK(prog_clk), + .D(mem_out[2]), + .Q(mem_out[3]), + .QN(mem_outb[3])); + +endmodule +// ----- END Verilog module for mux_tree_tapbuf_size11_mem ----- + +//----- Default net type ----- +`default_nettype none + + + + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for mux_tree_tapbuf_size3_mem ----- +module mux_tree_tapbuf_size3_mem(prog_clk, + ccff_head, + ccff_tail, + mem_out, + mem_outb); +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; +//----- OUTPUT PORTS ----- +output [0:1] mem_out; +//----- OUTPUT PORTS ----- +output [0:1] mem_outb; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- + assign ccff_tail[0] = mem_out[1]; +// ----- END Local output short connections ----- + + DFF DFF_0_ ( + .CK(prog_clk), + .D(ccff_head), + .Q(mem_out[0]), + .QN(mem_outb[0])); + + DFF DFF_1_ ( + .CK(prog_clk), + .D(mem_out[0]), + .Q(mem_out[1]), + .QN(mem_outb[1])); + +endmodule +// ----- END Verilog module for mux_tree_tapbuf_size3_mem ----- + +//----- Default net type ----- +`default_nettype none + + + + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for mux_tree_tapbuf_size5_mem ----- +module mux_tree_tapbuf_size5_mem(prog_clk, + ccff_head, + ccff_tail, + mem_out, + mem_outb); +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; +//----- OUTPUT PORTS ----- +output [0:2] mem_out; +//----- OUTPUT PORTS ----- +output [0:2] mem_outb; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- + assign ccff_tail[0] = mem_out[2]; +// ----- END Local output short connections ----- + + DFF DFF_0_ ( + .CK(prog_clk), + .D(ccff_head), + .Q(mem_out[0]), + .QN(mem_outb[0])); + + DFF DFF_1_ ( + .CK(prog_clk), + .D(mem_out[0]), + .Q(mem_out[1]), + .QN(mem_outb[1])); + + DFF DFF_2_ ( + .CK(prog_clk), + .D(mem_out[1]), + .Q(mem_out[2]), + .QN(mem_outb[2])); + +endmodule +// ----- END Verilog module for mux_tree_tapbuf_size5_mem ----- + +//----- Default net type ----- +`default_nettype none + + + + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for mux_tree_size14_mem ----- +module mux_tree_size14_mem(prog_clk, + ccff_head, + ccff_tail, + mem_out, + mem_outb); +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; +//----- OUTPUT PORTS ----- +output [0:3] mem_out; +//----- OUTPUT PORTS ----- +output [0:3] mem_outb; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- + assign ccff_tail[0] = mem_out[3]; +// ----- END Local output short connections ----- + + DFF DFF_0_ ( + .CK(prog_clk), + .D(ccff_head), + .Q(mem_out[0]), + .QN(mem_outb[0])); + + DFF DFF_1_ ( + .CK(prog_clk), + .D(mem_out[0]), + .Q(mem_out[1]), + .QN(mem_outb[1])); + + DFF DFF_2_ ( + .CK(prog_clk), + .D(mem_out[1]), + .Q(mem_out[2]), + .QN(mem_outb[2])); + + DFF DFF_3_ ( + .CK(prog_clk), + .D(mem_out[2]), + .Q(mem_out[3]), + .QN(mem_outb[3])); + +endmodule +// ----- END Verilog module for mux_tree_size14_mem ----- + +//----- Default net type ----- +`default_nettype none + + + + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for lut4_DFF_mem ----- +module lut4_DFF_mem(prog_clk, + ccff_head, + ccff_tail, + mem_out, + mem_outb); +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; +//----- OUTPUT PORTS ----- +output [0:15] mem_out; +//----- OUTPUT PORTS ----- +output [0:15] mem_outb; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- + assign ccff_tail[0] = mem_out[15]; +// ----- END Local output short connections ----- + + DFF DFF_0_ ( + .CK(prog_clk), + .D(ccff_head), + .Q(mem_out[0]), + .QN(mem_outb[0])); + + DFF DFF_1_ ( + .CK(prog_clk), + .D(mem_out[0]), + .Q(mem_out[1]), + .QN(mem_outb[1])); + + DFF DFF_2_ ( + .CK(prog_clk), + .D(mem_out[1]), + .Q(mem_out[2]), + .QN(mem_outb[2])); + + DFF DFF_3_ ( + .CK(prog_clk), + .D(mem_out[2]), + .Q(mem_out[3]), + .QN(mem_outb[3])); + + DFF DFF_4_ ( + .CK(prog_clk), + .D(mem_out[3]), + .Q(mem_out[4]), + .QN(mem_outb[4])); + + DFF DFF_5_ ( + .CK(prog_clk), + .D(mem_out[4]), + .Q(mem_out[5]), + .QN(mem_outb[5])); + + DFF DFF_6_ ( + .CK(prog_clk), + .D(mem_out[5]), + .Q(mem_out[6]), + .QN(mem_outb[6])); + + DFF DFF_7_ ( + .CK(prog_clk), + .D(mem_out[6]), + .Q(mem_out[7]), + .QN(mem_outb[7])); + + DFF DFF_8_ ( + .CK(prog_clk), + .D(mem_out[7]), + .Q(mem_out[8]), + .QN(mem_outb[8])); + + DFF DFF_9_ ( + .CK(prog_clk), + .D(mem_out[8]), + .Q(mem_out[9]), + .QN(mem_outb[9])); + + DFF DFF_10_ ( + .CK(prog_clk), + .D(mem_out[9]), + .Q(mem_out[10]), + .QN(mem_outb[10])); + + DFF DFF_11_ ( + .CK(prog_clk), + .D(mem_out[10]), + .Q(mem_out[11]), + .QN(mem_outb[11])); + + DFF DFF_12_ ( + .CK(prog_clk), + .D(mem_out[11]), + .Q(mem_out[12]), + .QN(mem_outb[12])); + + DFF DFF_13_ ( + .CK(prog_clk), + .D(mem_out[12]), + .Q(mem_out[13]), + .QN(mem_outb[13])); + + DFF DFF_14_ ( + .CK(prog_clk), + .D(mem_out[13]), + .Q(mem_out[14]), + .QN(mem_outb[14])); + + DFF DFF_15_ ( + .CK(prog_clk), + .D(mem_out[14]), + .Q(mem_out[15]), + .QN(mem_outb[15])); + +endmodule +// ----- END Verilog module for lut4_DFF_mem ----- + +//----- Default net type ----- +`default_nettype none + + + + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for GPIO_DFF_mem ----- +module GPIO_DFF_mem(prog_clk, + ccff_head, + ccff_tail, + mem_out, + mem_outb); +//----- GLOBAL PORTS ----- +input [0:0] prog_clk; +//----- INPUT PORTS ----- +input [0:0] ccff_head; +//----- OUTPUT PORTS ----- +output [0:0] ccff_tail; +//----- OUTPUT PORTS ----- +output [0:0] mem_out; +//----- OUTPUT PORTS ----- +output [0:0] mem_outb; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- + assign ccff_tail[0] = mem_out[0]; +// ----- END Local output short connections ----- + + DFF DFF_0_ ( + .CK(prog_clk), + .D(ccff_head), + .Q(mem_out), + .QN(mem_outb)); + +endmodule +// ----- END Verilog module for GPIO_DFF_mem ----- + +//----- Default net type ----- +`default_nettype none + + + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/mux_primitives.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/mux_primitives.v new file mode 100644 index 000000000..c4c49b75c --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/mux_primitives.v @@ -0,0 +1,165 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Multiplexer primitives +// Author: Xifan TANG +// Organization: University of Utah +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for mux_tree_tapbuf_basis_input2_mem1 ----- +module mux_tree_tapbuf_basis_input2_mem1(in, + mem, + mem_inv, + out); +//----- INPUT PORTS ----- +input [0:1] in; +//----- INPUT PORTS ----- +input [0:0] mem; +//----- INPUT PORTS ----- +input [0:0] mem_inv; +//----- OUTPUT PORTS ----- +output [0:0] out; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + TGATE TGATE_0_ ( + .in(in[0]), + .sel(mem), + .selb(mem_inv), + .out(out)); + + TGATE TGATE_1_ ( + .in(in[1]), + .sel(mem_inv), + .selb(mem), + .out(out)); + +endmodule +// ----- END Verilog module for mux_tree_tapbuf_basis_input2_mem1 ----- + +//----- Default net type ----- +`default_nettype none + + + + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for mux_tree_basis_input2_mem1 ----- +module mux_tree_basis_input2_mem1(in, + mem, + mem_inv, + out); +//----- INPUT PORTS ----- +input [0:1] in; +//----- INPUT PORTS ----- +input [0:0] mem; +//----- INPUT PORTS ----- +input [0:0] mem_inv; +//----- OUTPUT PORTS ----- +output [0:0] out; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + TGATE TGATE_0_ ( + .in(in[0]), + .sel(mem), + .selb(mem_inv), + .out(out)); + + TGATE TGATE_1_ ( + .in(in[1]), + .sel(mem_inv), + .selb(mem), + .out(out)); + +endmodule +// ----- END Verilog module for mux_tree_basis_input2_mem1 ----- + +//----- Default net type ----- +`default_nettype none + + + + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for lut4_mux_basis_input2_mem1 ----- +module lut4_mux_basis_input2_mem1(in, + mem, + mem_inv, + out); +//----- INPUT PORTS ----- +input [0:1] in; +//----- INPUT PORTS ----- +input [0:0] mem; +//----- INPUT PORTS ----- +input [0:0] mem_inv; +//----- OUTPUT PORTS ----- +output [0:0] out; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + TGATE TGATE_0_ ( + .in(in[0]), + .sel(mem), + .selb(mem_inv), + .out(out)); + + TGATE TGATE_1_ ( + .in(in[1]), + .sel(mem_inv), + .selb(mem), + .out(out)); + +endmodule +// ----- END Verilog module for lut4_mux_basis_input2_mem1 ----- + +//----- Default net type ----- +`default_nettype none + + + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/muxes.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/muxes.v new file mode 100644 index 000000000..f01fda941 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/muxes.v @@ -0,0 +1,1462 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Multiplexers +// Author: Xifan TANG +// Organization: University of Utah +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for mux_tree_tapbuf_size4 ----- +module mux_tree_tapbuf_size4(in, + sram, + sram_inv, + out); +//----- INPUT PORTS ----- +input [0:3] in; +//----- INPUT PORTS ----- +input [0:2] sram; +//----- INPUT PORTS ----- +input [0:2] sram_inv; +//----- OUTPUT PORTS ----- +output [0:0] out; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:0] INVTX1_0_out; +wire [0:0] INVTX1_1_out; +wire [0:0] INVTX1_2_out; +wire [0:0] INVTX1_3_out; +wire [0:0] const1_0_const1; +wire [0:0] mux_tree_tapbuf_basis_input2_mem1_0_out; +wire [0:0] mux_tree_tapbuf_basis_input2_mem1_1_out; +wire [0:0] mux_tree_tapbuf_basis_input2_mem1_2_out; +wire [0:0] mux_tree_tapbuf_basis_input2_mem1_3_out; + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + INVTX1 INVTX1_0_ ( + .in(in[0]), + .out(INVTX1_0_out)); + + INVTX1 INVTX1_1_ ( + .in(in[1]), + .out(INVTX1_1_out)); + + INVTX1 INVTX1_2_ ( + .in(in[2]), + .out(INVTX1_2_out)); + + INVTX1 INVTX1_3_ ( + .in(in[3]), + .out(INVTX1_3_out)); + + const1 const1_0_ ( + .const1(const1_0_const1)); + + tap_buf4 tap_buf4_0_ ( + .in(mux_tree_tapbuf_basis_input2_mem1_3_out), + .out(out)); + + mux_tree_tapbuf_basis_input2_mem1 mux_l1_in_0_ ( + .in({INVTX1_0_out, INVTX1_1_out}), + .mem(sram[0]), + .mem_inv(sram_inv[0]), + .out(mux_tree_tapbuf_basis_input2_mem1_0_out)); + + mux_tree_tapbuf_basis_input2_mem1 mux_l2_in_0_ ( + .in({mux_tree_tapbuf_basis_input2_mem1_0_out, INVTX1_2_out}), + .mem(sram[1]), + .mem_inv(sram_inv[1]), + .out(mux_tree_tapbuf_basis_input2_mem1_1_out)); + + mux_tree_tapbuf_basis_input2_mem1 mux_l2_in_1_ ( + .in({INVTX1_3_out, const1_0_const1}), + .mem(sram[1]), + .mem_inv(sram_inv[1]), + .out(mux_tree_tapbuf_basis_input2_mem1_2_out)); + + mux_tree_tapbuf_basis_input2_mem1 mux_l3_in_0_ ( + .in({mux_tree_tapbuf_basis_input2_mem1_1_out, mux_tree_tapbuf_basis_input2_mem1_2_out}), + .mem(sram[2]), + .mem_inv(sram_inv[2]), + .out(mux_tree_tapbuf_basis_input2_mem1_3_out)); + +endmodule +// ----- END Verilog module for mux_tree_tapbuf_size4 ----- + +//----- Default net type ----- +`default_nettype none + + + + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for mux_tree_tapbuf_size2 ----- +module mux_tree_tapbuf_size2(in, + sram, + sram_inv, + out); +//----- INPUT PORTS ----- +input [0:1] in; +//----- INPUT PORTS ----- +input [0:1] sram; +//----- INPUT PORTS ----- +input [0:1] sram_inv; +//----- OUTPUT PORTS ----- +output [0:0] out; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:0] INVTX1_0_out; +wire [0:0] INVTX1_1_out; +wire [0:0] const1_0_const1; +wire [0:0] mux_tree_tapbuf_basis_input2_mem1_0_out; +wire [0:0] mux_tree_tapbuf_basis_input2_mem1_1_out; + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + INVTX1 INVTX1_0_ ( + .in(in[0]), + .out(INVTX1_0_out)); + + INVTX1 INVTX1_1_ ( + .in(in[1]), + .out(INVTX1_1_out)); + + const1 const1_0_ ( + .const1(const1_0_const1)); + + tap_buf4 tap_buf4_0_ ( + .in(mux_tree_tapbuf_basis_input2_mem1_1_out), + .out(out)); + + mux_tree_tapbuf_basis_input2_mem1 mux_l1_in_0_ ( + .in({INVTX1_0_out, INVTX1_1_out}), + .mem(sram[0]), + .mem_inv(sram_inv[0]), + .out(mux_tree_tapbuf_basis_input2_mem1_0_out)); + + mux_tree_tapbuf_basis_input2_mem1 mux_l2_in_0_ ( + .in({mux_tree_tapbuf_basis_input2_mem1_0_out, const1_0_const1}), + .mem(sram[1]), + .mem_inv(sram_inv[1]), + .out(mux_tree_tapbuf_basis_input2_mem1_1_out)); + +endmodule +// ----- END Verilog module for mux_tree_tapbuf_size2 ----- + +//----- Default net type ----- +`default_nettype none + + + + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for mux_tree_tapbuf_size10 ----- +module mux_tree_tapbuf_size10(in, + sram, + sram_inv, + out); +//----- INPUT PORTS ----- +input [0:9] in; +//----- INPUT PORTS ----- +input [0:3] sram; +//----- INPUT PORTS ----- +input [0:3] sram_inv; +//----- OUTPUT PORTS ----- +output [0:0] out; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:0] INVTX1_0_out; +wire [0:0] INVTX1_1_out; +wire [0:0] INVTX1_2_out; +wire [0:0] INVTX1_3_out; +wire [0:0] INVTX1_4_out; +wire [0:0] INVTX1_5_out; +wire [0:0] INVTX1_6_out; +wire [0:0] INVTX1_7_out; +wire [0:0] INVTX1_8_out; +wire [0:0] INVTX1_9_out; +wire [0:0] const1_0_const1; +wire [0:0] mux_tree_tapbuf_basis_input2_mem1_0_out; +wire [0:0] mux_tree_tapbuf_basis_input2_mem1_1_out; +wire [0:0] mux_tree_tapbuf_basis_input2_mem1_2_out; +wire [0:0] mux_tree_tapbuf_basis_input2_mem1_3_out; +wire [0:0] mux_tree_tapbuf_basis_input2_mem1_4_out; +wire [0:0] mux_tree_tapbuf_basis_input2_mem1_5_out; +wire [0:0] mux_tree_tapbuf_basis_input2_mem1_6_out; +wire [0:0] mux_tree_tapbuf_basis_input2_mem1_7_out; +wire [0:0] mux_tree_tapbuf_basis_input2_mem1_8_out; +wire [0:0] mux_tree_tapbuf_basis_input2_mem1_9_out; + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + INVTX1 INVTX1_0_ ( + .in(in[0]), + .out(INVTX1_0_out)); + + INVTX1 INVTX1_1_ ( + .in(in[1]), + .out(INVTX1_1_out)); + + INVTX1 INVTX1_2_ ( + .in(in[2]), + .out(INVTX1_2_out)); + + INVTX1 INVTX1_3_ ( + .in(in[3]), + .out(INVTX1_3_out)); + + INVTX1 INVTX1_4_ ( + .in(in[4]), + .out(INVTX1_4_out)); + + INVTX1 INVTX1_5_ ( + .in(in[5]), + .out(INVTX1_5_out)); + + INVTX1 INVTX1_6_ ( + .in(in[6]), + .out(INVTX1_6_out)); + + INVTX1 INVTX1_7_ ( + .in(in[7]), + .out(INVTX1_7_out)); + + INVTX1 INVTX1_8_ ( + .in(in[8]), + .out(INVTX1_8_out)); + + INVTX1 INVTX1_9_ ( + .in(in[9]), + .out(INVTX1_9_out)); + + const1 const1_0_ ( + .const1(const1_0_const1)); + + tap_buf4 tap_buf4_0_ ( + .in(mux_tree_tapbuf_basis_input2_mem1_9_out), + .out(out)); + + mux_tree_tapbuf_basis_input2_mem1 mux_l1_in_0_ ( + .in({INVTX1_0_out, INVTX1_1_out}), + .mem(sram[0]), + .mem_inv(sram_inv[0]), + .out(mux_tree_tapbuf_basis_input2_mem1_0_out)); + + mux_tree_tapbuf_basis_input2_mem1 mux_l1_in_1_ ( + .in({INVTX1_2_out, INVTX1_3_out}), + .mem(sram[0]), + .mem_inv(sram_inv[0]), + .out(mux_tree_tapbuf_basis_input2_mem1_1_out)); + + mux_tree_tapbuf_basis_input2_mem1 mux_l1_in_2_ ( + .in({INVTX1_4_out, INVTX1_5_out}), + .mem(sram[0]), + .mem_inv(sram_inv[0]), + .out(mux_tree_tapbuf_basis_input2_mem1_2_out)); + + mux_tree_tapbuf_basis_input2_mem1 mux_l2_in_0_ ( + .in({mux_tree_tapbuf_basis_input2_mem1_0_out, mux_tree_tapbuf_basis_input2_mem1_1_out}), + .mem(sram[1]), + .mem_inv(sram_inv[1]), + .out(mux_tree_tapbuf_basis_input2_mem1_3_out)); + + mux_tree_tapbuf_basis_input2_mem1 mux_l2_in_1_ ( + .in({mux_tree_tapbuf_basis_input2_mem1_2_out, INVTX1_6_out}), + .mem(sram[1]), + .mem_inv(sram_inv[1]), + .out(mux_tree_tapbuf_basis_input2_mem1_4_out)); + + mux_tree_tapbuf_basis_input2_mem1 mux_l2_in_2_ ( + .in({INVTX1_7_out, INVTX1_8_out}), + .mem(sram[1]), + .mem_inv(sram_inv[1]), + .out(mux_tree_tapbuf_basis_input2_mem1_5_out)); + + mux_tree_tapbuf_basis_input2_mem1 mux_l2_in_3_ ( + .in({INVTX1_9_out, const1_0_const1}), + .mem(sram[1]), + .mem_inv(sram_inv[1]), + .out(mux_tree_tapbuf_basis_input2_mem1_6_out)); + + mux_tree_tapbuf_basis_input2_mem1 mux_l3_in_0_ ( + .in({mux_tree_tapbuf_basis_input2_mem1_3_out, mux_tree_tapbuf_basis_input2_mem1_4_out}), + .mem(sram[2]), + .mem_inv(sram_inv[2]), + .out(mux_tree_tapbuf_basis_input2_mem1_7_out)); + + mux_tree_tapbuf_basis_input2_mem1 mux_l3_in_1_ ( + .in({mux_tree_tapbuf_basis_input2_mem1_5_out, mux_tree_tapbuf_basis_input2_mem1_6_out}), + .mem(sram[2]), + .mem_inv(sram_inv[2]), + .out(mux_tree_tapbuf_basis_input2_mem1_8_out)); + + mux_tree_tapbuf_basis_input2_mem1 mux_l4_in_0_ ( + .in({mux_tree_tapbuf_basis_input2_mem1_7_out, mux_tree_tapbuf_basis_input2_mem1_8_out}), + .mem(sram[3]), + .mem_inv(sram_inv[3]), + .out(mux_tree_tapbuf_basis_input2_mem1_9_out)); + +endmodule +// ----- END Verilog module for mux_tree_tapbuf_size10 ----- + +//----- Default net type ----- +`default_nettype none + + + + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for mux_tree_tapbuf_size8 ----- +module mux_tree_tapbuf_size8(in, + sram, + sram_inv, + out); +//----- INPUT PORTS ----- +input [0:7] in; +//----- INPUT PORTS ----- +input [0:3] sram; +//----- INPUT PORTS ----- +input [0:3] sram_inv; +//----- OUTPUT PORTS ----- +output [0:0] out; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:0] INVTX1_0_out; +wire [0:0] INVTX1_1_out; +wire [0:0] INVTX1_2_out; +wire [0:0] INVTX1_3_out; +wire [0:0] INVTX1_4_out; +wire [0:0] INVTX1_5_out; +wire [0:0] INVTX1_6_out; +wire [0:0] INVTX1_7_out; +wire [0:0] const1_0_const1; +wire [0:0] mux_tree_tapbuf_basis_input2_mem1_0_out; +wire [0:0] mux_tree_tapbuf_basis_input2_mem1_1_out; +wire [0:0] mux_tree_tapbuf_basis_input2_mem1_2_out; +wire [0:0] mux_tree_tapbuf_basis_input2_mem1_3_out; +wire [0:0] mux_tree_tapbuf_basis_input2_mem1_4_out; +wire [0:0] mux_tree_tapbuf_basis_input2_mem1_5_out; +wire [0:0] mux_tree_tapbuf_basis_input2_mem1_6_out; +wire [0:0] mux_tree_tapbuf_basis_input2_mem1_7_out; + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + INVTX1 INVTX1_0_ ( + .in(in[0]), + .out(INVTX1_0_out)); + + INVTX1 INVTX1_1_ ( + .in(in[1]), + .out(INVTX1_1_out)); + + INVTX1 INVTX1_2_ ( + .in(in[2]), + .out(INVTX1_2_out)); + + INVTX1 INVTX1_3_ ( + .in(in[3]), + .out(INVTX1_3_out)); + + INVTX1 INVTX1_4_ ( + .in(in[4]), + .out(INVTX1_4_out)); + + INVTX1 INVTX1_5_ ( + .in(in[5]), + .out(INVTX1_5_out)); + + INVTX1 INVTX1_6_ ( + .in(in[6]), + .out(INVTX1_6_out)); + + INVTX1 INVTX1_7_ ( + .in(in[7]), + .out(INVTX1_7_out)); + + const1 const1_0_ ( + .const1(const1_0_const1)); + + tap_buf4 tap_buf4_0_ ( + .in(mux_tree_tapbuf_basis_input2_mem1_7_out), + .out(out)); + + mux_tree_tapbuf_basis_input2_mem1 mux_l1_in_0_ ( + .in({INVTX1_0_out, INVTX1_1_out}), + .mem(sram[0]), + .mem_inv(sram_inv[0]), + .out(mux_tree_tapbuf_basis_input2_mem1_0_out)); + + mux_tree_tapbuf_basis_input2_mem1 mux_l2_in_0_ ( + .in({mux_tree_tapbuf_basis_input2_mem1_0_out, INVTX1_2_out}), + .mem(sram[1]), + .mem_inv(sram_inv[1]), + .out(mux_tree_tapbuf_basis_input2_mem1_1_out)); + + mux_tree_tapbuf_basis_input2_mem1 mux_l2_in_1_ ( + .in({INVTX1_3_out, INVTX1_4_out}), + .mem(sram[1]), + .mem_inv(sram_inv[1]), + .out(mux_tree_tapbuf_basis_input2_mem1_2_out)); + + mux_tree_tapbuf_basis_input2_mem1 mux_l2_in_2_ ( + .in({INVTX1_5_out, INVTX1_6_out}), + .mem(sram[1]), + .mem_inv(sram_inv[1]), + .out(mux_tree_tapbuf_basis_input2_mem1_3_out)); + + mux_tree_tapbuf_basis_input2_mem1 mux_l2_in_3_ ( + .in({INVTX1_7_out, const1_0_const1}), + .mem(sram[1]), + .mem_inv(sram_inv[1]), + .out(mux_tree_tapbuf_basis_input2_mem1_4_out)); + + mux_tree_tapbuf_basis_input2_mem1 mux_l3_in_0_ ( + .in({mux_tree_tapbuf_basis_input2_mem1_1_out, mux_tree_tapbuf_basis_input2_mem1_2_out}), + .mem(sram[2]), + .mem_inv(sram_inv[2]), + .out(mux_tree_tapbuf_basis_input2_mem1_5_out)); + + mux_tree_tapbuf_basis_input2_mem1 mux_l3_in_1_ ( + .in({mux_tree_tapbuf_basis_input2_mem1_3_out, mux_tree_tapbuf_basis_input2_mem1_4_out}), + .mem(sram[2]), + .mem_inv(sram_inv[2]), + .out(mux_tree_tapbuf_basis_input2_mem1_6_out)); + + mux_tree_tapbuf_basis_input2_mem1 mux_l4_in_0_ ( + .in({mux_tree_tapbuf_basis_input2_mem1_5_out, mux_tree_tapbuf_basis_input2_mem1_6_out}), + .mem(sram[3]), + .mem_inv(sram_inv[3]), + .out(mux_tree_tapbuf_basis_input2_mem1_7_out)); + +endmodule +// ----- END Verilog module for mux_tree_tapbuf_size8 ----- + +//----- Default net type ----- +`default_nettype none + + + + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for mux_tree_tapbuf_size9 ----- +module mux_tree_tapbuf_size9(in, + sram, + sram_inv, + out); +//----- INPUT PORTS ----- +input [0:8] in; +//----- INPUT PORTS ----- +input [0:3] sram; +//----- INPUT PORTS ----- +input [0:3] sram_inv; +//----- OUTPUT PORTS ----- +output [0:0] out; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:0] INVTX1_0_out; +wire [0:0] INVTX1_1_out; +wire [0:0] INVTX1_2_out; +wire [0:0] INVTX1_3_out; +wire [0:0] INVTX1_4_out; +wire [0:0] INVTX1_5_out; +wire [0:0] INVTX1_6_out; +wire [0:0] INVTX1_7_out; +wire [0:0] INVTX1_8_out; +wire [0:0] const1_0_const1; +wire [0:0] mux_tree_tapbuf_basis_input2_mem1_0_out; +wire [0:0] mux_tree_tapbuf_basis_input2_mem1_1_out; +wire [0:0] mux_tree_tapbuf_basis_input2_mem1_2_out; +wire [0:0] mux_tree_tapbuf_basis_input2_mem1_3_out; +wire [0:0] mux_tree_tapbuf_basis_input2_mem1_4_out; +wire [0:0] mux_tree_tapbuf_basis_input2_mem1_5_out; +wire [0:0] mux_tree_tapbuf_basis_input2_mem1_6_out; +wire [0:0] mux_tree_tapbuf_basis_input2_mem1_7_out; +wire [0:0] mux_tree_tapbuf_basis_input2_mem1_8_out; + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + INVTX1 INVTX1_0_ ( + .in(in[0]), + .out(INVTX1_0_out)); + + INVTX1 INVTX1_1_ ( + .in(in[1]), + .out(INVTX1_1_out)); + + INVTX1 INVTX1_2_ ( + .in(in[2]), + .out(INVTX1_2_out)); + + INVTX1 INVTX1_3_ ( + .in(in[3]), + .out(INVTX1_3_out)); + + INVTX1 INVTX1_4_ ( + .in(in[4]), + .out(INVTX1_4_out)); + + INVTX1 INVTX1_5_ ( + .in(in[5]), + .out(INVTX1_5_out)); + + INVTX1 INVTX1_6_ ( + .in(in[6]), + .out(INVTX1_6_out)); + + INVTX1 INVTX1_7_ ( + .in(in[7]), + .out(INVTX1_7_out)); + + INVTX1 INVTX1_8_ ( + .in(in[8]), + .out(INVTX1_8_out)); + + const1 const1_0_ ( + .const1(const1_0_const1)); + + tap_buf4 tap_buf4_0_ ( + .in(mux_tree_tapbuf_basis_input2_mem1_8_out), + .out(out)); + + mux_tree_tapbuf_basis_input2_mem1 mux_l1_in_0_ ( + .in({INVTX1_0_out, INVTX1_1_out}), + .mem(sram[0]), + .mem_inv(sram_inv[0]), + .out(mux_tree_tapbuf_basis_input2_mem1_0_out)); + + mux_tree_tapbuf_basis_input2_mem1 mux_l1_in_1_ ( + .in({INVTX1_2_out, INVTX1_3_out}), + .mem(sram[0]), + .mem_inv(sram_inv[0]), + .out(mux_tree_tapbuf_basis_input2_mem1_1_out)); + + mux_tree_tapbuf_basis_input2_mem1 mux_l2_in_0_ ( + .in({mux_tree_tapbuf_basis_input2_mem1_0_out, mux_tree_tapbuf_basis_input2_mem1_1_out}), + .mem(sram[1]), + .mem_inv(sram_inv[1]), + .out(mux_tree_tapbuf_basis_input2_mem1_2_out)); + + mux_tree_tapbuf_basis_input2_mem1 mux_l2_in_1_ ( + .in({INVTX1_4_out, INVTX1_5_out}), + .mem(sram[1]), + .mem_inv(sram_inv[1]), + .out(mux_tree_tapbuf_basis_input2_mem1_3_out)); + + mux_tree_tapbuf_basis_input2_mem1 mux_l2_in_2_ ( + .in({INVTX1_6_out, INVTX1_7_out}), + .mem(sram[1]), + .mem_inv(sram_inv[1]), + .out(mux_tree_tapbuf_basis_input2_mem1_4_out)); + + mux_tree_tapbuf_basis_input2_mem1 mux_l2_in_3_ ( + .in({INVTX1_8_out, const1_0_const1}), + .mem(sram[1]), + .mem_inv(sram_inv[1]), + .out(mux_tree_tapbuf_basis_input2_mem1_5_out)); + + mux_tree_tapbuf_basis_input2_mem1 mux_l3_in_0_ ( + .in({mux_tree_tapbuf_basis_input2_mem1_2_out, mux_tree_tapbuf_basis_input2_mem1_3_out}), + .mem(sram[2]), + .mem_inv(sram_inv[2]), + .out(mux_tree_tapbuf_basis_input2_mem1_6_out)); + + mux_tree_tapbuf_basis_input2_mem1 mux_l3_in_1_ ( + .in({mux_tree_tapbuf_basis_input2_mem1_4_out, mux_tree_tapbuf_basis_input2_mem1_5_out}), + .mem(sram[2]), + .mem_inv(sram_inv[2]), + .out(mux_tree_tapbuf_basis_input2_mem1_7_out)); + + mux_tree_tapbuf_basis_input2_mem1 mux_l4_in_0_ ( + .in({mux_tree_tapbuf_basis_input2_mem1_6_out, mux_tree_tapbuf_basis_input2_mem1_7_out}), + .mem(sram[3]), + .mem_inv(sram_inv[3]), + .out(mux_tree_tapbuf_basis_input2_mem1_8_out)); + +endmodule +// ----- END Verilog module for mux_tree_tapbuf_size9 ----- + +//----- Default net type ----- +`default_nettype none + + + + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for mux_tree_tapbuf_size11 ----- +module mux_tree_tapbuf_size11(in, + sram, + sram_inv, + out); +//----- INPUT PORTS ----- +input [0:10] in; +//----- INPUT PORTS ----- +input [0:3] sram; +//----- INPUT PORTS ----- +input [0:3] sram_inv; +//----- OUTPUT PORTS ----- +output [0:0] out; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:0] INVTX1_0_out; +wire [0:0] INVTX1_10_out; +wire [0:0] INVTX1_1_out; +wire [0:0] INVTX1_2_out; +wire [0:0] INVTX1_3_out; +wire [0:0] INVTX1_4_out; +wire [0:0] INVTX1_5_out; +wire [0:0] INVTX1_6_out; +wire [0:0] INVTX1_7_out; +wire [0:0] INVTX1_8_out; +wire [0:0] INVTX1_9_out; +wire [0:0] const1_0_const1; +wire [0:0] mux_tree_tapbuf_basis_input2_mem1_0_out; +wire [0:0] mux_tree_tapbuf_basis_input2_mem1_10_out; +wire [0:0] mux_tree_tapbuf_basis_input2_mem1_1_out; +wire [0:0] mux_tree_tapbuf_basis_input2_mem1_2_out; +wire [0:0] mux_tree_tapbuf_basis_input2_mem1_3_out; +wire [0:0] mux_tree_tapbuf_basis_input2_mem1_4_out; +wire [0:0] mux_tree_tapbuf_basis_input2_mem1_5_out; +wire [0:0] mux_tree_tapbuf_basis_input2_mem1_6_out; +wire [0:0] mux_tree_tapbuf_basis_input2_mem1_7_out; +wire [0:0] mux_tree_tapbuf_basis_input2_mem1_8_out; +wire [0:0] mux_tree_tapbuf_basis_input2_mem1_9_out; + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + INVTX1 INVTX1_0_ ( + .in(in[0]), + .out(INVTX1_0_out)); + + INVTX1 INVTX1_1_ ( + .in(in[1]), + .out(INVTX1_1_out)); + + INVTX1 INVTX1_2_ ( + .in(in[2]), + .out(INVTX1_2_out)); + + INVTX1 INVTX1_3_ ( + .in(in[3]), + .out(INVTX1_3_out)); + + INVTX1 INVTX1_4_ ( + .in(in[4]), + .out(INVTX1_4_out)); + + INVTX1 INVTX1_5_ ( + .in(in[5]), + .out(INVTX1_5_out)); + + INVTX1 INVTX1_6_ ( + .in(in[6]), + .out(INVTX1_6_out)); + + INVTX1 INVTX1_7_ ( + .in(in[7]), + .out(INVTX1_7_out)); + + INVTX1 INVTX1_8_ ( + .in(in[8]), + .out(INVTX1_8_out)); + + INVTX1 INVTX1_9_ ( + .in(in[9]), + .out(INVTX1_9_out)); + + INVTX1 INVTX1_10_ ( + .in(in[10]), + .out(INVTX1_10_out)); + + const1 const1_0_ ( + .const1(const1_0_const1)); + + tap_buf4 tap_buf4_0_ ( + .in(mux_tree_tapbuf_basis_input2_mem1_10_out), + .out(out)); + + mux_tree_tapbuf_basis_input2_mem1 mux_l1_in_0_ ( + .in({INVTX1_0_out, INVTX1_1_out}), + .mem(sram[0]), + .mem_inv(sram_inv[0]), + .out(mux_tree_tapbuf_basis_input2_mem1_0_out)); + + mux_tree_tapbuf_basis_input2_mem1 mux_l1_in_1_ ( + .in({INVTX1_2_out, INVTX1_3_out}), + .mem(sram[0]), + .mem_inv(sram_inv[0]), + .out(mux_tree_tapbuf_basis_input2_mem1_1_out)); + + mux_tree_tapbuf_basis_input2_mem1 mux_l1_in_2_ ( + .in({INVTX1_4_out, INVTX1_5_out}), + .mem(sram[0]), + .mem_inv(sram_inv[0]), + .out(mux_tree_tapbuf_basis_input2_mem1_2_out)); + + mux_tree_tapbuf_basis_input2_mem1 mux_l1_in_3_ ( + .in({INVTX1_6_out, INVTX1_7_out}), + .mem(sram[0]), + .mem_inv(sram_inv[0]), + .out(mux_tree_tapbuf_basis_input2_mem1_3_out)); + + mux_tree_tapbuf_basis_input2_mem1 mux_l2_in_0_ ( + .in({mux_tree_tapbuf_basis_input2_mem1_0_out, mux_tree_tapbuf_basis_input2_mem1_1_out}), + .mem(sram[1]), + .mem_inv(sram_inv[1]), + .out(mux_tree_tapbuf_basis_input2_mem1_4_out)); + + mux_tree_tapbuf_basis_input2_mem1 mux_l2_in_1_ ( + .in({mux_tree_tapbuf_basis_input2_mem1_2_out, mux_tree_tapbuf_basis_input2_mem1_3_out}), + .mem(sram[1]), + .mem_inv(sram_inv[1]), + .out(mux_tree_tapbuf_basis_input2_mem1_5_out)); + + mux_tree_tapbuf_basis_input2_mem1 mux_l2_in_2_ ( + .in({INVTX1_8_out, INVTX1_9_out}), + .mem(sram[1]), + .mem_inv(sram_inv[1]), + .out(mux_tree_tapbuf_basis_input2_mem1_6_out)); + + mux_tree_tapbuf_basis_input2_mem1 mux_l2_in_3_ ( + .in({INVTX1_10_out, const1_0_const1}), + .mem(sram[1]), + .mem_inv(sram_inv[1]), + .out(mux_tree_tapbuf_basis_input2_mem1_7_out)); + + mux_tree_tapbuf_basis_input2_mem1 mux_l3_in_0_ ( + .in({mux_tree_tapbuf_basis_input2_mem1_4_out, mux_tree_tapbuf_basis_input2_mem1_5_out}), + .mem(sram[2]), + .mem_inv(sram_inv[2]), + .out(mux_tree_tapbuf_basis_input2_mem1_8_out)); + + mux_tree_tapbuf_basis_input2_mem1 mux_l3_in_1_ ( + .in({mux_tree_tapbuf_basis_input2_mem1_6_out, mux_tree_tapbuf_basis_input2_mem1_7_out}), + .mem(sram[2]), + .mem_inv(sram_inv[2]), + .out(mux_tree_tapbuf_basis_input2_mem1_9_out)); + + mux_tree_tapbuf_basis_input2_mem1 mux_l4_in_0_ ( + .in({mux_tree_tapbuf_basis_input2_mem1_8_out, mux_tree_tapbuf_basis_input2_mem1_9_out}), + .mem(sram[3]), + .mem_inv(sram_inv[3]), + .out(mux_tree_tapbuf_basis_input2_mem1_10_out)); + +endmodule +// ----- END Verilog module for mux_tree_tapbuf_size11 ----- + +//----- Default net type ----- +`default_nettype none + + + + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for mux_tree_tapbuf_size3 ----- +module mux_tree_tapbuf_size3(in, + sram, + sram_inv, + out); +//----- INPUT PORTS ----- +input [0:2] in; +//----- INPUT PORTS ----- +input [0:1] sram; +//----- INPUT PORTS ----- +input [0:1] sram_inv; +//----- OUTPUT PORTS ----- +output [0:0] out; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:0] INVTX1_0_out; +wire [0:0] INVTX1_1_out; +wire [0:0] INVTX1_2_out; +wire [0:0] const1_0_const1; +wire [0:0] mux_tree_tapbuf_basis_input2_mem1_0_out; +wire [0:0] mux_tree_tapbuf_basis_input2_mem1_1_out; +wire [0:0] mux_tree_tapbuf_basis_input2_mem1_2_out; + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + INVTX1 INVTX1_0_ ( + .in(in[0]), + .out(INVTX1_0_out)); + + INVTX1 INVTX1_1_ ( + .in(in[1]), + .out(INVTX1_1_out)); + + INVTX1 INVTX1_2_ ( + .in(in[2]), + .out(INVTX1_2_out)); + + const1 const1_0_ ( + .const1(const1_0_const1)); + + tap_buf4 tap_buf4_0_ ( + .in(mux_tree_tapbuf_basis_input2_mem1_2_out), + .out(out)); + + mux_tree_tapbuf_basis_input2_mem1 mux_l1_in_0_ ( + .in({INVTX1_0_out, INVTX1_1_out}), + .mem(sram[0]), + .mem_inv(sram_inv[0]), + .out(mux_tree_tapbuf_basis_input2_mem1_0_out)); + + mux_tree_tapbuf_basis_input2_mem1 mux_l1_in_1_ ( + .in({INVTX1_2_out, const1_0_const1}), + .mem(sram[0]), + .mem_inv(sram_inv[0]), + .out(mux_tree_tapbuf_basis_input2_mem1_1_out)); + + mux_tree_tapbuf_basis_input2_mem1 mux_l2_in_0_ ( + .in({mux_tree_tapbuf_basis_input2_mem1_0_out, mux_tree_tapbuf_basis_input2_mem1_1_out}), + .mem(sram[1]), + .mem_inv(sram_inv[1]), + .out(mux_tree_tapbuf_basis_input2_mem1_2_out)); + +endmodule +// ----- END Verilog module for mux_tree_tapbuf_size3 ----- + +//----- Default net type ----- +`default_nettype none + + + + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for mux_tree_tapbuf_size5 ----- +module mux_tree_tapbuf_size5(in, + sram, + sram_inv, + out); +//----- INPUT PORTS ----- +input [0:4] in; +//----- INPUT PORTS ----- +input [0:2] sram; +//----- INPUT PORTS ----- +input [0:2] sram_inv; +//----- OUTPUT PORTS ----- +output [0:0] out; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:0] INVTX1_0_out; +wire [0:0] INVTX1_1_out; +wire [0:0] INVTX1_2_out; +wire [0:0] INVTX1_3_out; +wire [0:0] INVTX1_4_out; +wire [0:0] const1_0_const1; +wire [0:0] mux_tree_tapbuf_basis_input2_mem1_0_out; +wire [0:0] mux_tree_tapbuf_basis_input2_mem1_1_out; +wire [0:0] mux_tree_tapbuf_basis_input2_mem1_2_out; +wire [0:0] mux_tree_tapbuf_basis_input2_mem1_3_out; +wire [0:0] mux_tree_tapbuf_basis_input2_mem1_4_out; + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + INVTX1 INVTX1_0_ ( + .in(in[0]), + .out(INVTX1_0_out)); + + INVTX1 INVTX1_1_ ( + .in(in[1]), + .out(INVTX1_1_out)); + + INVTX1 INVTX1_2_ ( + .in(in[2]), + .out(INVTX1_2_out)); + + INVTX1 INVTX1_3_ ( + .in(in[3]), + .out(INVTX1_3_out)); + + INVTX1 INVTX1_4_ ( + .in(in[4]), + .out(INVTX1_4_out)); + + const1 const1_0_ ( + .const1(const1_0_const1)); + + tap_buf4 tap_buf4_0_ ( + .in(mux_tree_tapbuf_basis_input2_mem1_4_out), + .out(out)); + + mux_tree_tapbuf_basis_input2_mem1 mux_l1_in_0_ ( + .in({INVTX1_0_out, INVTX1_1_out}), + .mem(sram[0]), + .mem_inv(sram_inv[0]), + .out(mux_tree_tapbuf_basis_input2_mem1_0_out)); + + mux_tree_tapbuf_basis_input2_mem1 mux_l1_in_1_ ( + .in({INVTX1_2_out, INVTX1_3_out}), + .mem(sram[0]), + .mem_inv(sram_inv[0]), + .out(mux_tree_tapbuf_basis_input2_mem1_1_out)); + + mux_tree_tapbuf_basis_input2_mem1 mux_l2_in_0_ ( + .in({mux_tree_tapbuf_basis_input2_mem1_0_out, mux_tree_tapbuf_basis_input2_mem1_1_out}), + .mem(sram[1]), + .mem_inv(sram_inv[1]), + .out(mux_tree_tapbuf_basis_input2_mem1_2_out)); + + mux_tree_tapbuf_basis_input2_mem1 mux_l2_in_1_ ( + .in({INVTX1_4_out, const1_0_const1}), + .mem(sram[1]), + .mem_inv(sram_inv[1]), + .out(mux_tree_tapbuf_basis_input2_mem1_3_out)); + + mux_tree_tapbuf_basis_input2_mem1 mux_l3_in_0_ ( + .in({mux_tree_tapbuf_basis_input2_mem1_2_out, mux_tree_tapbuf_basis_input2_mem1_3_out}), + .mem(sram[2]), + .mem_inv(sram_inv[2]), + .out(mux_tree_tapbuf_basis_input2_mem1_4_out)); + +endmodule +// ----- END Verilog module for mux_tree_tapbuf_size5 ----- + +//----- Default net type ----- +`default_nettype none + + + + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for mux_tree_size14 ----- +module mux_tree_size14(in, + sram, + sram_inv, + out); +//----- INPUT PORTS ----- +input [0:13] in; +//----- INPUT PORTS ----- +input [0:3] sram; +//----- INPUT PORTS ----- +input [0:3] sram_inv; +//----- OUTPUT PORTS ----- +output [0:0] out; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:0] INVTX1_0_out; +wire [0:0] INVTX1_10_out; +wire [0:0] INVTX1_11_out; +wire [0:0] INVTX1_12_out; +wire [0:0] INVTX1_13_out; +wire [0:0] INVTX1_1_out; +wire [0:0] INVTX1_2_out; +wire [0:0] INVTX1_3_out; +wire [0:0] INVTX1_4_out; +wire [0:0] INVTX1_5_out; +wire [0:0] INVTX1_6_out; +wire [0:0] INVTX1_7_out; +wire [0:0] INVTX1_8_out; +wire [0:0] INVTX1_9_out; +wire [0:0] const1_0_const1; +wire [0:0] mux_tree_basis_input2_mem1_0_out; +wire [0:0] mux_tree_basis_input2_mem1_10_out; +wire [0:0] mux_tree_basis_input2_mem1_11_out; +wire [0:0] mux_tree_basis_input2_mem1_12_out; +wire [0:0] mux_tree_basis_input2_mem1_13_out; +wire [0:0] mux_tree_basis_input2_mem1_1_out; +wire [0:0] mux_tree_basis_input2_mem1_2_out; +wire [0:0] mux_tree_basis_input2_mem1_3_out; +wire [0:0] mux_tree_basis_input2_mem1_4_out; +wire [0:0] mux_tree_basis_input2_mem1_5_out; +wire [0:0] mux_tree_basis_input2_mem1_6_out; +wire [0:0] mux_tree_basis_input2_mem1_7_out; +wire [0:0] mux_tree_basis_input2_mem1_8_out; +wire [0:0] mux_tree_basis_input2_mem1_9_out; + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + INVTX1 INVTX1_0_ ( + .in(in[0]), + .out(INVTX1_0_out)); + + INVTX1 INVTX1_1_ ( + .in(in[1]), + .out(INVTX1_1_out)); + + INVTX1 INVTX1_2_ ( + .in(in[2]), + .out(INVTX1_2_out)); + + INVTX1 INVTX1_3_ ( + .in(in[3]), + .out(INVTX1_3_out)); + + INVTX1 INVTX1_4_ ( + .in(in[4]), + .out(INVTX1_4_out)); + + INVTX1 INVTX1_5_ ( + .in(in[5]), + .out(INVTX1_5_out)); + + INVTX1 INVTX1_6_ ( + .in(in[6]), + .out(INVTX1_6_out)); + + INVTX1 INVTX1_7_ ( + .in(in[7]), + .out(INVTX1_7_out)); + + INVTX1 INVTX1_8_ ( + .in(in[8]), + .out(INVTX1_8_out)); + + INVTX1 INVTX1_9_ ( + .in(in[9]), + .out(INVTX1_9_out)); + + INVTX1 INVTX1_10_ ( + .in(in[10]), + .out(INVTX1_10_out)); + + INVTX1 INVTX1_11_ ( + .in(in[11]), + .out(INVTX1_11_out)); + + INVTX1 INVTX1_12_ ( + .in(in[12]), + .out(INVTX1_12_out)); + + INVTX1 INVTX1_13_ ( + .in(in[13]), + .out(INVTX1_13_out)); + + INVTX1 INVTX1_14_ ( + .in(mux_tree_basis_input2_mem1_13_out), + .out(out)); + + const1 const1_0_ ( + .const1(const1_0_const1)); + + mux_tree_basis_input2_mem1 mux_l1_in_0_ ( + .in({INVTX1_0_out, INVTX1_1_out}), + .mem(sram[0]), + .mem_inv(sram_inv[0]), + .out(mux_tree_basis_input2_mem1_0_out)); + + mux_tree_basis_input2_mem1 mux_l1_in_1_ ( + .in({INVTX1_2_out, INVTX1_3_out}), + .mem(sram[0]), + .mem_inv(sram_inv[0]), + .out(mux_tree_basis_input2_mem1_1_out)); + + mux_tree_basis_input2_mem1 mux_l1_in_2_ ( + .in({INVTX1_4_out, INVTX1_5_out}), + .mem(sram[0]), + .mem_inv(sram_inv[0]), + .out(mux_tree_basis_input2_mem1_2_out)); + + mux_tree_basis_input2_mem1 mux_l1_in_3_ ( + .in({INVTX1_6_out, INVTX1_7_out}), + .mem(sram[0]), + .mem_inv(sram_inv[0]), + .out(mux_tree_basis_input2_mem1_3_out)); + + mux_tree_basis_input2_mem1 mux_l1_in_4_ ( + .in({INVTX1_8_out, INVTX1_9_out}), + .mem(sram[0]), + .mem_inv(sram_inv[0]), + .out(mux_tree_basis_input2_mem1_4_out)); + + mux_tree_basis_input2_mem1 mux_l1_in_5_ ( + .in({INVTX1_10_out, INVTX1_11_out}), + .mem(sram[0]), + .mem_inv(sram_inv[0]), + .out(mux_tree_basis_input2_mem1_5_out)); + + mux_tree_basis_input2_mem1 mux_l1_in_6_ ( + .in({INVTX1_12_out, INVTX1_13_out}), + .mem(sram[0]), + .mem_inv(sram_inv[0]), + .out(mux_tree_basis_input2_mem1_6_out)); + + mux_tree_basis_input2_mem1 mux_l2_in_0_ ( + .in({mux_tree_basis_input2_mem1_0_out, mux_tree_basis_input2_mem1_1_out}), + .mem(sram[1]), + .mem_inv(sram_inv[1]), + .out(mux_tree_basis_input2_mem1_7_out)); + + mux_tree_basis_input2_mem1 mux_l2_in_1_ ( + .in({mux_tree_basis_input2_mem1_2_out, mux_tree_basis_input2_mem1_3_out}), + .mem(sram[1]), + .mem_inv(sram_inv[1]), + .out(mux_tree_basis_input2_mem1_8_out)); + + mux_tree_basis_input2_mem1 mux_l2_in_2_ ( + .in({mux_tree_basis_input2_mem1_4_out, mux_tree_basis_input2_mem1_5_out}), + .mem(sram[1]), + .mem_inv(sram_inv[1]), + .out(mux_tree_basis_input2_mem1_9_out)); + + mux_tree_basis_input2_mem1 mux_l2_in_3_ ( + .in({mux_tree_basis_input2_mem1_6_out, const1_0_const1}), + .mem(sram[1]), + .mem_inv(sram_inv[1]), + .out(mux_tree_basis_input2_mem1_10_out)); + + mux_tree_basis_input2_mem1 mux_l3_in_0_ ( + .in({mux_tree_basis_input2_mem1_7_out, mux_tree_basis_input2_mem1_8_out}), + .mem(sram[2]), + .mem_inv(sram_inv[2]), + .out(mux_tree_basis_input2_mem1_11_out)); + + mux_tree_basis_input2_mem1 mux_l3_in_1_ ( + .in({mux_tree_basis_input2_mem1_9_out, mux_tree_basis_input2_mem1_10_out}), + .mem(sram[2]), + .mem_inv(sram_inv[2]), + .out(mux_tree_basis_input2_mem1_12_out)); + + mux_tree_basis_input2_mem1 mux_l4_in_0_ ( + .in({mux_tree_basis_input2_mem1_11_out, mux_tree_basis_input2_mem1_12_out}), + .mem(sram[3]), + .mem_inv(sram_inv[3]), + .out(mux_tree_basis_input2_mem1_13_out)); + +endmodule +// ----- END Verilog module for mux_tree_size14 ----- + +//----- Default net type ----- +`default_nettype none + + + + +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for lut4_mux ----- +module lut4_mux(in, + sram, + sram_inv, + out); +//----- INPUT PORTS ----- +input [0:15] in; +//----- INPUT PORTS ----- +input [0:3] sram; +//----- INPUT PORTS ----- +input [0:3] sram_inv; +//----- OUTPUT PORTS ----- +output [0:0] out; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + + +wire [0:0] INVTX1_0_out; +wire [0:0] INVTX1_10_out; +wire [0:0] INVTX1_11_out; +wire [0:0] INVTX1_12_out; +wire [0:0] INVTX1_13_out; +wire [0:0] INVTX1_14_out; +wire [0:0] INVTX1_15_out; +wire [0:0] INVTX1_1_out; +wire [0:0] INVTX1_2_out; +wire [0:0] INVTX1_3_out; +wire [0:0] INVTX1_4_out; +wire [0:0] INVTX1_5_out; +wire [0:0] INVTX1_6_out; +wire [0:0] INVTX1_7_out; +wire [0:0] INVTX1_8_out; +wire [0:0] INVTX1_9_out; +wire [0:0] lut4_mux_basis_input2_mem1_0_out; +wire [0:0] lut4_mux_basis_input2_mem1_10_out; +wire [0:0] lut4_mux_basis_input2_mem1_11_out; +wire [0:0] lut4_mux_basis_input2_mem1_12_out; +wire [0:0] lut4_mux_basis_input2_mem1_13_out; +wire [0:0] lut4_mux_basis_input2_mem1_14_out; +wire [0:0] lut4_mux_basis_input2_mem1_1_out; +wire [0:0] lut4_mux_basis_input2_mem1_2_out; +wire [0:0] lut4_mux_basis_input2_mem1_3_out; +wire [0:0] lut4_mux_basis_input2_mem1_4_out; +wire [0:0] lut4_mux_basis_input2_mem1_5_out; +wire [0:0] lut4_mux_basis_input2_mem1_6_out; +wire [0:0] lut4_mux_basis_input2_mem1_7_out; +wire [0:0] lut4_mux_basis_input2_mem1_8_out; +wire [0:0] lut4_mux_basis_input2_mem1_9_out; + +// ----- BEGIN Local short connections ----- +// ----- END Local short connections ----- +// ----- BEGIN Local output short connections ----- +// ----- END Local output short connections ----- + + INVTX1 INVTX1_0_ ( + .in(in[0]), + .out(INVTX1_0_out)); + + INVTX1 INVTX1_1_ ( + .in(in[1]), + .out(INVTX1_1_out)); + + INVTX1 INVTX1_2_ ( + .in(in[2]), + .out(INVTX1_2_out)); + + INVTX1 INVTX1_3_ ( + .in(in[3]), + .out(INVTX1_3_out)); + + INVTX1 INVTX1_4_ ( + .in(in[4]), + .out(INVTX1_4_out)); + + INVTX1 INVTX1_5_ ( + .in(in[5]), + .out(INVTX1_5_out)); + + INVTX1 INVTX1_6_ ( + .in(in[6]), + .out(INVTX1_6_out)); + + INVTX1 INVTX1_7_ ( + .in(in[7]), + .out(INVTX1_7_out)); + + INVTX1 INVTX1_8_ ( + .in(in[8]), + .out(INVTX1_8_out)); + + INVTX1 INVTX1_9_ ( + .in(in[9]), + .out(INVTX1_9_out)); + + INVTX1 INVTX1_10_ ( + .in(in[10]), + .out(INVTX1_10_out)); + + INVTX1 INVTX1_11_ ( + .in(in[11]), + .out(INVTX1_11_out)); + + INVTX1 INVTX1_12_ ( + .in(in[12]), + .out(INVTX1_12_out)); + + INVTX1 INVTX1_13_ ( + .in(in[13]), + .out(INVTX1_13_out)); + + INVTX1 INVTX1_14_ ( + .in(in[14]), + .out(INVTX1_14_out)); + + INVTX1 INVTX1_15_ ( + .in(in[15]), + .out(INVTX1_15_out)); + + INVTX1 INVTX1_16_ ( + .in(lut4_mux_basis_input2_mem1_14_out), + .out(out)); + + lut4_mux_basis_input2_mem1 mux_l1_in_0_ ( + .in({INVTX1_0_out, INVTX1_1_out}), + .mem(sram[0]), + .mem_inv(sram_inv[0]), + .out(lut4_mux_basis_input2_mem1_0_out)); + + lut4_mux_basis_input2_mem1 mux_l1_in_1_ ( + .in({INVTX1_2_out, INVTX1_3_out}), + .mem(sram[0]), + .mem_inv(sram_inv[0]), + .out(lut4_mux_basis_input2_mem1_1_out)); + + lut4_mux_basis_input2_mem1 mux_l1_in_2_ ( + .in({INVTX1_4_out, INVTX1_5_out}), + .mem(sram[0]), + .mem_inv(sram_inv[0]), + .out(lut4_mux_basis_input2_mem1_2_out)); + + lut4_mux_basis_input2_mem1 mux_l1_in_3_ ( + .in({INVTX1_6_out, INVTX1_7_out}), + .mem(sram[0]), + .mem_inv(sram_inv[0]), + .out(lut4_mux_basis_input2_mem1_3_out)); + + lut4_mux_basis_input2_mem1 mux_l1_in_4_ ( + .in({INVTX1_8_out, INVTX1_9_out}), + .mem(sram[0]), + .mem_inv(sram_inv[0]), + .out(lut4_mux_basis_input2_mem1_4_out)); + + lut4_mux_basis_input2_mem1 mux_l1_in_5_ ( + .in({INVTX1_10_out, INVTX1_11_out}), + .mem(sram[0]), + .mem_inv(sram_inv[0]), + .out(lut4_mux_basis_input2_mem1_5_out)); + + lut4_mux_basis_input2_mem1 mux_l1_in_6_ ( + .in({INVTX1_12_out, INVTX1_13_out}), + .mem(sram[0]), + .mem_inv(sram_inv[0]), + .out(lut4_mux_basis_input2_mem1_6_out)); + + lut4_mux_basis_input2_mem1 mux_l1_in_7_ ( + .in({INVTX1_14_out, INVTX1_15_out}), + .mem(sram[0]), + .mem_inv(sram_inv[0]), + .out(lut4_mux_basis_input2_mem1_7_out)); + + lut4_mux_basis_input2_mem1 mux_l2_in_0_ ( + .in({lut4_mux_basis_input2_mem1_0_out, lut4_mux_basis_input2_mem1_1_out}), + .mem(sram[1]), + .mem_inv(sram_inv[1]), + .out(lut4_mux_basis_input2_mem1_8_out)); + + lut4_mux_basis_input2_mem1 mux_l2_in_1_ ( + .in({lut4_mux_basis_input2_mem1_2_out, lut4_mux_basis_input2_mem1_3_out}), + .mem(sram[1]), + .mem_inv(sram_inv[1]), + .out(lut4_mux_basis_input2_mem1_9_out)); + + lut4_mux_basis_input2_mem1 mux_l2_in_2_ ( + .in({lut4_mux_basis_input2_mem1_4_out, lut4_mux_basis_input2_mem1_5_out}), + .mem(sram[1]), + .mem_inv(sram_inv[1]), + .out(lut4_mux_basis_input2_mem1_10_out)); + + lut4_mux_basis_input2_mem1 mux_l2_in_3_ ( + .in({lut4_mux_basis_input2_mem1_6_out, lut4_mux_basis_input2_mem1_7_out}), + .mem(sram[1]), + .mem_inv(sram_inv[1]), + .out(lut4_mux_basis_input2_mem1_11_out)); + + lut4_mux_basis_input2_mem1 mux_l3_in_0_ ( + .in({lut4_mux_basis_input2_mem1_8_out, lut4_mux_basis_input2_mem1_9_out}), + .mem(sram[2]), + .mem_inv(sram_inv[2]), + .out(lut4_mux_basis_input2_mem1_12_out)); + + lut4_mux_basis_input2_mem1 mux_l3_in_1_ ( + .in({lut4_mux_basis_input2_mem1_10_out, lut4_mux_basis_input2_mem1_11_out}), + .mem(sram[2]), + .mem_inv(sram_inv[2]), + .out(lut4_mux_basis_input2_mem1_13_out)); + + lut4_mux_basis_input2_mem1 mux_l4_in_0_ ( + .in({lut4_mux_basis_input2_mem1_12_out, lut4_mux_basis_input2_mem1_13_out}), + .mem(sram[3]), + .mem_inv(sram_inv[3]), + .out(lut4_mux_basis_input2_mem1_14_out)); + +endmodule +// ----- END Verilog module for lut4_mux ----- + +//----- Default net type ----- +`default_nettype none + + + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/shift_register_banks.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/shift_register_banks.v new file mode 100644 index 000000000..877cae3cb --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/shift_register_banks.v @@ -0,0 +1,9 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Shift register banks used in FPGA +// Author: Xifan TANG +// Organization: University of Utah +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/user_defined_templates.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/user_defined_templates.v new file mode 100644 index 000000000..6369ff51a --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/user_defined_templates.v @@ -0,0 +1,120 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Template for user-defined Verilog modules +// Author: Xifan TANG +// Organization: University of Utah +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +// ----- Template Verilog module for DFFSRQ ----- +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for DFFSRQ ----- +module DFFSRQ(SET, + RST, + CK, + D, + Q); +//----- GLOBAL PORTS ----- +input [0:0] SET; +//----- GLOBAL PORTS ----- +input [0:0] RST; +//----- GLOBAL PORTS ----- +input [0:0] CK; +//----- INPUT PORTS ----- +input [0:0] D; +//----- OUTPUT PORTS ----- +output [0:0] Q; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + +// ----- Internal logic should start here ----- + + +// ----- Internal logic should end here ----- +endmodule +// ----- END Verilog module for DFFSRQ ----- + +//----- Default net type ----- +`default_nettype none + + +// ----- Template Verilog module for DFF ----- +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for DFF ----- +module DFF(CK, + D, + Q, + QN); +//----- GLOBAL PORTS ----- +input [0:0] CK; +//----- INPUT PORTS ----- +input [0:0] D; +//----- OUTPUT PORTS ----- +output [0:0] Q; +//----- OUTPUT PORTS ----- +output [0:0] QN; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + +// ----- Internal logic should start here ----- + + +// ----- Internal logic should end here ----- +endmodule +// ----- END Verilog module for DFF ----- + +//----- Default net type ----- +`default_nettype none + + +// ----- Template Verilog module for GPIO ----- +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for GPIO ----- +module GPIO(PAD, + A, + DIR, + Y); +//----- GPIO PORTS ----- +inout [0:0] PAD; +//----- INPUT PORTS ----- +input [0:0] A; +//----- INPUT PORTS ----- +input [0:0] DIR; +//----- OUTPUT PORTS ----- +output [0:0] Y; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + +// ----- Internal logic should start here ----- + + +// ----- Internal logic should end here ----- +endmodule +// ----- END Verilog module for GPIO ----- + +//----- Default net type ----- +`default_nettype none + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/wires.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/wires.v new file mode 100644 index 000000000..e8d10f4cb --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/wires.v @@ -0,0 +1,39 @@ +//------------------------------------------- +// FPGA Synthesizable Verilog Netlist +// Description: Wires +// Author: Xifan TANG +// Organization: University of Utah +//------------------------------------------- +//----- Time scale ----- +`timescale 1ns / 1ps + +// ----- BEGIN Verilog modules for regular wires ----- +//----- Default net type ----- +`default_nettype none + +// ----- Verilog module for direct_interc ----- +module direct_interc(in, + out); +//----- INPUT PORTS ----- +input [0:0] in; +//----- OUTPUT PORTS ----- +output [0:0] out; + +//----- BEGIN wire-connection ports ----- +//----- END wire-connection ports ----- + + +//----- BEGIN Registered ports ----- +//----- END Registered ports ----- + +wire [0:0] in; +wire [0:0] out; + assign out[0] = in[0]; +endmodule +// ----- END Verilog module for direct_interc ----- + +//----- Default net type ----- +`default_nettype none + + +// ----- END Verilog modules for regular wires ----- diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/fabric_netlists.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/fabric_netlists.v deleted file mode 100644 index 194cfe001..000000000 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/fabric_netlists.v +++ /dev/null @@ -1,53 +0,0 @@ -//------------------------------------------- -// FPGA Synthesizable Verilog Netlist -// Description: Fabric Netlist Summary -// Author: Xifan TANG -// Organization: University of Utah -//------------------------------------------- -//----- Time scale ----- -`timescale 1ns / 1ps - -// ------ Include defines: preproc flags ----- -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/fpga_defines.v" - -// ------ Include user-defined netlists ----- -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/openfpga_cell_library/verilog/dff.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/openfpga_cell_library/verilog/gpio.v" -// ------ Include primitive module netlists ----- -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/sub_module/inv_buf_passgate.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/sub_module/arch_encoder.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/sub_module/local_encoder.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/sub_module/mux_primitives.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/sub_module/muxes.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/sub_module/luts.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/sub_module/wires.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/sub_module/memories.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/sub_module/shift_register_banks.v" - -// ------ Include logic block netlists ----- -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/lb/logical_tile_io_mode_physical__iopad.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/lb/logical_tile_io_mode_io_.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_clb_.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/lb/grid_io_top.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/lb/grid_io_right.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/lb/grid_io_bottom.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/lb/grid_io_left.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/lb/grid_clb.v" - -// ------ Include routing module netlists ----- -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/routing/sb_0__0_.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/routing/sb_0__1_.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/routing/sb_1__0_.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/routing/sb_1__1_.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/routing/cbx_1__0_.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/routing/cbx_1__1_.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/routing/cby_0__1_.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/routing/cby_1__1_.v" - -// ------ Include fabric top-level netlists ----- -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/golden_outputs_no_time_stamp/fpga_top.v" - From 477e2119d7cfc61fe7b6d97ab54975e3087c3ce8 Mon Sep 17 00:00:00 2001 From: tangxifan <tangxifan@gmail.com> Date: Tue, 6 Sep 2022 15:24:43 -0700 Subject: [PATCH 3/3] [test] remove abs paths in golden outputs without time stamps --- .../no_time_stamp_example_script.openfpga | 4 +- .../and2_include_netlists.v | 6 +- .../fabric_netlists.v | 62 +++++++-------- .../and2_include_netlists.v | 6 +- .../fabric_netlists.v | 76 +++++++++---------- 5 files changed, 77 insertions(+), 77 deletions(-) diff --git a/openfpga_flow/openfpga_shell_scripts/no_time_stamp_example_script.openfpga b/openfpga_flow/openfpga_shell_scripts/no_time_stamp_example_script.openfpga index d75f5f76f..9541e9eb5 100644 --- a/openfpga_flow/openfpga_shell_scripts/no_time_stamp_example_script.openfpga +++ b/openfpga_flow/openfpga_shell_scripts/no_time_stamp_example_script.openfpga @@ -60,7 +60,7 @@ report_bitstream_distribution --file ${OPENFPGA_OUTPUT_DIR}/bitstream_distributi # Write the Verilog netlist for FPGA fabric # - Enable the use of explicit port mapping in Verilog netlist -write_fabric_verilog --file ${OPENFPGA_OUTPUT_DIR} --explicit_port_mapping --include_timing --print_user_defined_template --verbose --no_time_stamp +write_fabric_verilog --file ${OPENFPGA_OUTPUT_DIR} --explicit_port_mapping --include_timing --print_user_defined_template --use_relative_path --verbose --no_time_stamp # Write the Verilog testbench for FPGA fabric # - We suggest the use of same output directory as fabric Verilog netlists @@ -69,7 +69,7 @@ write_fabric_verilog --file ${OPENFPGA_OUTPUT_DIR} --explicit_port_mapping --inc # - Enable pre-configured top-level testbench which is a fast verification skipping programming phase # - Simulation ini file is optional and is needed only when you need to interface different HDL simulators using openfpga flow-run scripts write_preconfigured_fabric_wrapper --embed_bitstream iverilog --file ${OPENFPGA_OUTPUT_DIR} --explicit_port_mapping --no_time_stamp -write_preconfigured_testbench --file ${OPENFPGA_OUTPUT_DIR} --reference_benchmark_file_path ${REFERENCE_VERILOG_TESTBENCH} --explicit_port_mapping --no_time_stamp +write_preconfigured_testbench --file ${OPENFPGA_OUTPUT_DIR} --reference_benchmark_file_path ${REFERENCE_VERILOG_TESTBENCH} --use_relative_path --explicit_port_mapping --no_time_stamp # Write the SDC files for PnR backend # - Turn on every options here diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/and2_include_netlists.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/and2_include_netlists.v index 093778f3c..69009dff8 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/and2_include_netlists.v +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/and2_include_netlists.v @@ -8,9 +8,9 @@ `timescale 1ns / 1ps // ------ Include fabric top-level netlists ----- -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/fabric_netlists.v" +`include "fabric_netlists.v" `include "and2_output_verilog.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/and2_top_formal_verification.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/and2_formal_random_top_tb.v" +`include "and2_top_formal_verification.v" +`include "and2_formal_random_top_tb.v" diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/fabric_netlists.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/fabric_netlists.v index 860bccaf6..b50cd2830 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/fabric_netlists.v +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/fabric_netlists.v @@ -8,46 +8,46 @@ `timescale 1ns / 1ps // ------ Include defines: preproc flags ----- -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/fpga_defines.v" +`include "fpga_defines.v" // ------ Include user-defined netlists ----- `include "/home/tangxifan/test/OpenFPGA/openfpga_flow/openfpga_cell_library/verilog/dff.v" `include "/home/tangxifan/test/OpenFPGA/openfpga_flow/openfpga_cell_library/verilog/gpio.v" // ------ Include primitive module netlists ----- -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/sub_module/inv_buf_passgate.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/sub_module/arch_encoder.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/sub_module/local_encoder.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/sub_module/mux_primitives.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/sub_module/muxes.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/sub_module/luts.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/sub_module/wires.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/sub_module/memories.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/sub_module/shift_register_banks.v" +`include "sub_module/inv_buf_passgate.v" +`include "sub_module/arch_encoder.v" +`include "sub_module/local_encoder.v" +`include "sub_module/mux_primitives.v" +`include "sub_module/muxes.v" +`include "sub_module/luts.v" +`include "sub_module/wires.v" +`include "sub_module/memories.v" +`include "sub_module/shift_register_banks.v" // ------ Include logic block netlists ----- -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/lb/logical_tile_io_mode_physical__iopad.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/lb/logical_tile_io_mode_io_.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_clb_.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/lb/grid_io_top.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/lb/grid_io_right.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/lb/grid_io_bottom.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/lb/grid_io_left.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/lb/grid_clb.v" +`include "lb/logical_tile_io_mode_physical__iopad.v" +`include "lb/logical_tile_io_mode_io_.v" +`include "lb/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4.v" +`include "lb/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff.v" +`include "lb/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4.v" +`include "lb/logical_tile_clb_mode_default__fle.v" +`include "lb/logical_tile_clb_mode_clb_.v" +`include "lb/grid_io_top.v" +`include "lb/grid_io_right.v" +`include "lb/grid_io_bottom.v" +`include "lb/grid_io_left.v" +`include "lb/grid_clb.v" // ------ Include routing module netlists ----- -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/routing/sb_0__0_.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/routing/sb_0__1_.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/routing/sb_1__0_.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/routing/sb_1__1_.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/routing/cbx_1__0_.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/routing/cbx_1__1_.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/routing/cby_0__1_.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/routing/cby_1__1_.v" +`include "routing/sb_0__0_.v" +`include "routing/sb_0__1_.v" +`include "routing/sb_1__0_.v" +`include "routing/sb_1__1_.v" +`include "routing/cbx_1__0_.v" +`include "routing/cbx_1__1_.v" +`include "routing/cby_0__1_.v" +`include "routing/cby_1__1_.v" // ------ Include fabric top-level netlists ----- -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/fpga_top.v" +`include "fpga_top.v" diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/and2_include_netlists.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/and2_include_netlists.v index c71b9b954..69009dff8 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/and2_include_netlists.v +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/and2_include_netlists.v @@ -8,9 +8,9 @@ `timescale 1ns / 1ps // ------ Include fabric top-level netlists ----- -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/fabric_netlists.v" +`include "fabric_netlists.v" `include "and2_output_verilog.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/and2_top_formal_verification.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/and2_formal_random_top_tb.v" +`include "and2_top_formal_verification.v" +`include "and2_formal_random_top_tb.v" diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/fabric_netlists.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/fabric_netlists.v index 472db6491..a1a38685f 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/fabric_netlists.v +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/fabric_netlists.v @@ -8,53 +8,53 @@ `timescale 1ns / 1ps // ------ Include defines: preproc flags ----- -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/fpga_defines.v" +`include "fpga_defines.v" // ------ Include user-defined netlists ----- `include "/home/tangxifan/test/OpenFPGA/openfpga_flow/openfpga_cell_library/verilog/dff.v" `include "/home/tangxifan/test/OpenFPGA/openfpga_flow/openfpga_cell_library/verilog/gpio.v" // ------ Include primitive module netlists ----- -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/inv_buf_passgate.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/arch_encoder.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/local_encoder.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/mux_primitives.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/muxes.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/luts.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/wires.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/memories.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/sub_module/shift_register_banks.v" +`include "sub_module/inv_buf_passgate.v" +`include "sub_module/arch_encoder.v" +`include "sub_module/local_encoder.v" +`include "sub_module/mux_primitives.v" +`include "sub_module/muxes.v" +`include "sub_module/luts.v" +`include "sub_module/wires.v" +`include "sub_module/memories.v" +`include "sub_module/shift_register_banks.v" // ------ Include logic block netlists ----- -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/logical_tile_io_mode_physical__iopad.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/logical_tile_io_mode_io_.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_default__fle.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/logical_tile_clb_mode_clb_.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/grid_io_top.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/grid_io_right.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/grid_io_bottom.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/grid_io_left.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/lb/grid_clb.v" +`include "lb/logical_tile_io_mode_physical__iopad.v" +`include "lb/logical_tile_io_mode_io_.v" +`include "lb/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__lut4.v" +`include "lb/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4_mode_default__ff.v" +`include "lb/logical_tile_clb_mode_default__fle_mode_n1_lut4__ble4.v" +`include "lb/logical_tile_clb_mode_default__fle.v" +`include "lb/logical_tile_clb_mode_clb_.v" +`include "lb/grid_io_top.v" +`include "lb/grid_io_right.v" +`include "lb/grid_io_bottom.v" +`include "lb/grid_io_left.v" +`include "lb/grid_clb.v" // ------ Include routing module netlists ----- -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/sb_0__0_.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/sb_0__1_.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/sb_0__4_.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/sb_1__0_.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/sb_1__1_.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/sb_1__4_.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/sb_4__0_.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/sb_4__1_.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/sb_4__4_.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/cbx_1__0_.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/cbx_1__1_.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/cbx_1__4_.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/cby_0__1_.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/cby_1__1_.v" -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/routing/cby_4__1_.v" +`include "routing/sb_0__0_.v" +`include "routing/sb_0__1_.v" +`include "routing/sb_0__4_.v" +`include "routing/sb_1__0_.v" +`include "routing/sb_1__1_.v" +`include "routing/sb_1__4_.v" +`include "routing/sb_4__0_.v" +`include "routing/sb_4__1_.v" +`include "routing/sb_4__4_.v" +`include "routing/cbx_1__0_.v" +`include "routing/cbx_1__1_.v" +`include "routing/cbx_1__4_.v" +`include "routing/cby_0__1_.v" +`include "routing/cby_1__1_.v" +`include "routing/cby_4__1_.v" // ------ Include fabric top-level netlists ----- -`include "/home/tangxifan/test/OpenFPGA/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/fpga_top.v" +`include "fpga_top.v"