|
@ -0,0 +1,270 @@
|
|||
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
|
||||
<!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd">
|
||||
<svg xmlns="http://www.w3.org/2000/svg" xmlns:xlink="http://www.w3.org/1999/xlink" version="1.1" width="300pt" height="300pt" viewBox="0 0 300 300">
|
||||
<g enable-background="new">
|
||||
<clipPath id="cp0">
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" d="M 710 1463.61 L 5410 1463.61 L 5410 7200 L 710 7200 Z "/>
|
||||
</clipPath>
|
||||
<g clip-path="url(#cp0)">
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 710 1463.61 L 5410 1463.61 L 5410 7200 L 710 7200 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 1287.27 1463.61 L 720 1463.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 795.082 2667.54 L 795.082 1463.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 1578.69 L 795.082 1578.69 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 1702.62 L 795.082 1702.62 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 1817.7 L 795.082 1817.7 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 1941.64 L 795.082 1941.64 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 2065.57 L 795.082 2065.57 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 2180.66 L 795.082 2180.66 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 2304.59 L 795.082 2304.59 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 2419.67 L 795.082 2419.67 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 2543.61 L 795.082 2543.61 Z "/>
|
||||
<text xml:space="preserve" transform="matrix(.5150631 0 0 1.9415097 0 0)" font-size="5.499895" font-family="Courier"><tspan y="40.52197" x="282.44114">0</tspan></text>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 1287.27 2667.54 L 720 2667.54 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 795.082 3871.48 L 795.082 2667.54 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 2782.63 L 795.082 2782.63 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 2906.56 L 795.082 2906.56 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 3021.64 L 795.082 3021.64 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 3145.57 L 795.082 3145.57 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 3269.51 L 795.082 3269.51 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 3384.59 L 795.082 3384.59 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 3508.52 L 795.082 3508.52 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 3623.61 L 795.082 3623.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 3747.54 L 795.082 3747.54 Z "/>
|
||||
<text xml:space="preserve" transform="matrix(.56422337 0 0 1.7723478 0 0)" font-size="6.024833" font-family="Courier"><tspan y="44.389596" x="463.36793 466.98283 470.59773 474.21263 477.8275">10 ns</tspan></text>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 1287.27 3871.48 L 720 3871.48 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 795.082 5075.41 L 795.082 3871.48 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 3986.56 L 795.082 3986.56 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 4110.49 L 795.082 4110.49 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 4225.57 L 795.082 4225.57 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 4349.51 L 795.082 4349.51 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 4473.44 L 795.082 4473.44 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 4588.52 L 795.082 4588.52 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 4712.46 L 795.082 4712.46 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 4827.54 L 795.082 4827.54 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 4951.48 L 795.082 4951.48 Z "/>
|
||||
<text xml:space="preserve" transform="matrix(.56422337 0 0 1.7723478 0 0)" font-size="6.024833" font-family="Courier"><tspan y="44.389596" x="676.7462 680.3611 683.976 687.5909 691.2058">20 ns</tspan></text>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 1287.27 5075.41 L 720 5075.41 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 795.082 6279.34 L 795.082 5075.41 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 5190.49 L 795.082 5190.49 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 5314.43 L 795.082 5314.43 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 5429.51 L 795.082 5429.51 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 5553.44 L 795.082 5553.44 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 5677.38 L 795.082 5677.38 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 5792.46 L 795.082 5792.46 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 5916.39 L 795.082 5916.39 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 6031.48 L 795.082 6031.48 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 6155.41 L 795.082 6155.41 Z "/>
|
||||
<text xml:space="preserve" transform="matrix(.56422337 0 0 1.7723478 0 0)" font-size="6.024833" font-family="Courier"><tspan y="44.389596" x="890.1263 893.74118 897.3561 900.97097 904.5859">30 ns</tspan></text>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 1287.27 6279.34 L 720 6279.34 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 795.082 7200 L 795.082 6279.34 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 6394.43 L 795.082 6394.43 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 6518.36 L 795.082 6518.36 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 6633.44 L 795.082 6633.44 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 6757.38 L 795.082 6757.38 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 6881.31 L 795.082 6881.31 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 6996.39 L 795.082 6996.39 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 7120.33 L 795.082 7120.33 Z "/>
|
||||
<text xml:space="preserve" transform="matrix(.56422337 0 0 1.7723478 0 0)" font-size="6.024833" font-family="Courier"><tspan y="44.389596" x="1103.5045 1107.1194 1110.7343 1114.3493 1117.9641">40 ns</tspan></text>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 986.953 7191.15 L 986.953 1463.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 1463.61 L 970.266 1463.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 1569.84 L 970.266 1463.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 1569.84 L 970.266 1569.84 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 1915.08 L 878.504 1569.84 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 1915.08 L 878.504 1915.08 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 2030.16 L 970.266 1915.08 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 2030.16 L 970.266 2030.16 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 2145.25 L 878.504 2030.16 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 2145.25 L 878.504 2145.25 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 2375.41 L 970.266 2145.25 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 2375.41 L 970.266 2375.41 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 2481.64 L 878.504 2375.41 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 2481.64 L 878.504 2481.64 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 2596.72 L 970.266 2481.64 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 2596.72 L 970.266 2596.72 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 2711.8 L 878.504 2596.72 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 2711.8 L 878.504 2711.8 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 2826.89 L 970.266 2711.8 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 2826.89 L 970.266 2826.89 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 2941.97 L 878.504 2826.89 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 2941.97 L 878.504 2941.97 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 3057.05 L 970.266 2941.97 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 3057.05 L 970.266 3057.05 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 3172.13 L 878.504 3057.05 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 3172.13 L 878.504 3172.13 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 3287.21 L 970.266 3172.13 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 3287.21 L 970.266 3287.21 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 3393.44 L 878.504 3287.21 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 3393.44 L 878.504 3393.44 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 3508.52 L 970.266 3393.44 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 3508.52 L 970.266 3508.52 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 3623.61 L 878.504 3508.52 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 3623.61 L 878.504 3623.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 3853.77 L 970.266 3623.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 3853.77 L 970.266 3853.77 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 4314.1 L 878.504 3853.77 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 4314.1 L 878.504 4314.1 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 4420.33 L 970.266 4314.1 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 4420.33 L 970.266 4420.33 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 4535.41 L 878.504 4420.33 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 4535.41 L 878.504 4535.41 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 4650.49 L 970.266 4535.41 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 4650.49 L 970.266 4650.49 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 4880.66 L 878.504 4650.49 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 4880.66 L 878.504 4880.66 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 5110.82 L 970.266 4880.66 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 5110.82 L 970.266 5110.82 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 5225.9 L 878.504 5110.82 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 5225.9 L 878.504 5225.9 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 5447.21 L 970.266 5225.9 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 5447.21 L 970.266 5447.21 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 5562.3 L 878.504 5447.21 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 5562.3 L 878.504 5562.3 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 5677.38 L 970.266 5562.3 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 5677.38 L 970.266 5677.38 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 5792.46 L 878.504 5677.38 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 5792.46 L 878.504 5792.46 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 5907.54 L 970.266 5792.46 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 5907.54 L 970.266 5907.54 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 6022.63 L 878.504 5907.54 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 6022.63 L 878.504 6022.63 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 6243.93 L 970.266 6022.63 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 6243.93 L 970.266 6243.93 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 6934.43 L 878.504 6243.93 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 6934.43 L 878.504 6934.43 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 7049.51 L 970.266 6934.43 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 7049.51 L 970.266 7049.51 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 7164.59 L 878.504 7049.51 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 7164.59 L 878.504 7164.59 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 7200 L 970.266 7164.59 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 1128.77 7191.15 L 1128.77 1463.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 1463.61 L 1112.09 1463.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 1800 L 1112.09 1463.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 1800 L 1112.09 1800 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 1915.08 L 1020.32 1800 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 1915.08 L 1020.32 1915.08 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 2145.25 L 1112.09 1915.08 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 2145.25 L 1112.09 2145.25 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 2375.41 L 1020.32 2145.25 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 2375.41 L 1020.32 2375.41 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 2596.72 L 1112.09 2375.41 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 2596.72 L 1112.09 2596.72 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 2711.8 L 1020.32 2596.72 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 2711.8 L 1020.32 2711.8 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 2826.89 L 1112.09 2711.8 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 2826.89 L 1112.09 2826.89 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 3057.05 L 1020.32 2826.89 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 3057.05 L 1020.32 3057.05 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 3172.13 L 1112.09 3057.05 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 3172.13 L 1112.09 3172.13 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 3623.61 L 1020.32 3172.13 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 3623.61 L 1020.32 3623.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 3738.69 L 1112.09 3623.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 3738.69 L 1112.09 3738.69 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 3853.77 L 1020.32 3738.69 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 3853.77 L 1020.32 3853.77 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 3968.85 L 1112.09 3853.77 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 3968.85 L 1112.09 3968.85 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 4199.02 L 1020.32 3968.85 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 4199.02 L 1020.32 4199.02 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 4995.74 L 1112.09 4199.02 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 4995.74 L 1112.09 4995.74 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 5562.3 L 1020.32 4995.74 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 5562.3 L 1020.32 5562.3 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 5677.38 L 1112.09 5562.3 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 5677.38 L 1112.09 5677.38 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 5907.54 L 1020.32 5677.38 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 5907.54 L 1020.32 5907.54 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 6022.63 L 1112.09 5907.54 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 6022.63 L 1112.09 6022.63 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 6137.71 L 1020.32 6022.63 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 6137.71 L 1020.32 6137.71 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 6359.02 L 1112.09 6137.71 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 6359.02 L 1112.09 6359.02 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 6474.1 L 1020.32 6359.02 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 6474.1 L 1020.32 6474.1 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 6589.18 L 1112.09 6474.1 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 6589.18 L 1112.09 6589.18 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 6704.26 L 1020.32 6589.18 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 6704.26 L 1020.32 6704.26 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 6819.34 L 1112.09 6704.26 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 6819.34 L 1112.09 6819.34 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 7164.59 L 1020.32 6819.34 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 7164.59 L 1020.32 7164.59 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 7200 L 1112.09 7164.59 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 1270.59 7191.15 L 1270.59 1463.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 1463.61 L 1253.9 1463.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 1800 L 1253.9 1463.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 1800 L 1253.9 1800 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 1915.08 L 1162.14 1800 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 1915.08 L 1162.14 1915.08 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 2596.72 L 1253.9 1915.08 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 2596.72 L 1253.9 2596.72 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 2711.8 L 1162.14 2596.72 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 2711.8 L 1162.14 2711.8 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 2826.89 L 1253.9 2711.8 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 2826.89 L 1253.9 2826.89 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 2941.97 L 1162.14 2826.89 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 2941.97 L 1162.14 2941.97 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 3287.21 L 1253.9 2941.97 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 3287.21 L 1253.9 3287.21 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 3393.44 L 1162.14 3287.21 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 3393.44 L 1162.14 3393.44 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 3508.52 L 1253.9 3393.44 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 3508.52 L 1253.9 3508.52 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 3623.61 L 1162.14 3508.52 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 3623.61 L 1162.14 3623.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 3968.85 L 1253.9 3623.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 3968.85 L 1253.9 3968.85 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 4199.02 L 1162.14 3968.85 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 4199.02 L 1162.14 4199.02 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 5110.82 L 1253.9 4199.02 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 5110.82 L 1253.9 5110.82 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 5225.9 L 1162.14 5110.82 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 5225.9 L 1162.14 5225.9 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 5447.21 L 1253.9 5225.9 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 5447.21 L 1253.9 5447.21 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 5562.3 L 1162.14 5447.21 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 5562.3 L 1162.14 5562.3 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 5677.38 L 1253.9 5562.3 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 5677.38 L 1253.9 5677.38 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 5792.46 L 1162.14 5677.38 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 5792.46 L 1162.14 5792.46 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 6359.02 L 1253.9 5792.46 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 6359.02 L 1253.9 6359.02 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 6474.1 L 1162.14 6359.02 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 6474.1 L 1162.14 6474.1 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 6589.18 L 1253.9 6474.1 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 6589.18 L 1253.9 6589.18 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 6704.26 L 1162.14 6589.18 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 6704.26 L 1162.14 6704.26 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 6819.34 L 1253.9 6704.26 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 6819.34 L 1253.9 6819.34 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 6934.43 L 1162.14 6819.34 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 6934.43 L 1162.14 6934.43 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 7049.51 L 1253.9 6934.43 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 7049.51 L 1253.9 7049.51 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 7164.59 L 1162.14 7049.51 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 7164.59 L 1162.14 7164.59 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 7200 L 1253.9 7164.59 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#797979" d="M 1287.27 3464.26 L 853.477 3464.26 Z "/>
|
||||
</g>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="10" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 700 710 L 5420 710 L 5420 7210 L 700 7210 Z "/>
|
||||
<clipPath id="cp1">
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" d="M 710 720 L 5410 720 L 5410 7200 L 710 7200 Z "/>
|
||||
</clipPath>
|
||||
<g clip-path="url(#cp1)">
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#929292" d="M 710 720 L 5410 720 L 5410 7200 L 710 7200 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 853.477 1454.75 L 853.477 720 Z "/>
|
||||
<text xml:space="preserve" transform="matrix(.7900709 0 0 1.2657093 0 0)" font-size="17.92742" font-family="Courier"><tspan y="67.430728" x="94.49265 105.24911 116.00556 126.76201">Time</tspan></text>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" d="M 861.816 737.703 L 970.26498 737.703 L 970.26498 1445.902 L 861.816 1445.902 Z " fill="#f5f5f5"/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 986.953 1454.75 L 986.953 720 Z "/>
|
||||
<text xml:space="preserve" transform="matrix(.95371118 0 0 1.0485355 0 0)" font-size="8.910829" font-family="Courier"><tspan y="90.148799" x="78.279369 83.62586 88.97237">a=0</tspan></text>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" d="M 1003.64 737.703 L 1112.089 737.703 L 1112.089 1445.902 L 1003.64 1445.902 Z " fill="#f5f5f5"/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 1128.77 1454.75 L 1128.77 720 Z "/>
|
||||
<text xml:space="preserve" transform="matrix(.95371118 0 0 1.0485355 0 0)" font-size="8.910829" font-family="Courier"><tspan y="103.67414" x="78.279369 83.62586 88.97237">b=1</tspan></text>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" d="M 1145.45 737.703 L 1253.8989 737.703 L 1253.8989 1445.902 L 1145.45 1445.902 Z " fill="#f5f5f5"/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 1270.59 1454.75 L 1270.59 720 Z "/>
|
||||
<text xml:space="preserve" transform="matrix(.95371118 0 0 1.0485355 0 0)" font-size="8.910829" font-family="Courier"><tspan y="117.19967" x="78.279369 83.62586 88.97237 94.31886 99.66536 105.011859 110.35835 115.70485 121.05135 126.39785 131.74434 137.09085 142.43735">out_c_gfpga=0</tspan></text>
|
||||
</g>
|
||||
</g>
|
||||
</svg>
|
After Width: | Height: | Size: 50 KiB |
|
@ -0,0 +1,270 @@
|
|||
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
|
||||
<!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd">
|
||||
<svg xmlns="http://www.w3.org/2000/svg" xmlns:xlink="http://www.w3.org/1999/xlink" version="1.1" width="842pt" height="595pt" viewBox="0 0 300 300">
|
||||
<g enable-background="new">
|
||||
<clipPath id="cp0">
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" d="M 710 1463.61 L 5410 1463.61 L 5410 7200 L 710 7200 Z "/>
|
||||
</clipPath>
|
||||
<g clip-path="url(#cp0)">
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 710 1463.61 L 5410 1463.61 L 5410 7200 L 710 7200 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 1287.27 1463.61 L 720 1463.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 795.082 2667.54 L 795.082 1463.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 1578.69 L 795.082 1578.69 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 1702.62 L 795.082 1702.62 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 1817.7 L 795.082 1817.7 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 1941.64 L 795.082 1941.64 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 2065.57 L 795.082 2065.57 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 2180.66 L 795.082 2180.66 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 2304.59 L 795.082 2304.59 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 2419.67 L 795.082 2419.67 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 2543.61 L 795.082 2543.61 Z "/>
|
||||
<text xml:space="preserve" transform="matrix(.5150631 0 0 1.9415097 0 0)" font-size="5.499895" font-family="Courier"><tspan y="40.52197" x="282.44114">0</tspan></text>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 1287.27 2667.54 L 720 2667.54 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 795.082 3871.48 L 795.082 2667.54 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 2782.63 L 795.082 2782.63 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 2906.56 L 795.082 2906.56 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 3021.64 L 795.082 3021.64 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 3145.57 L 795.082 3145.57 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 3269.51 L 795.082 3269.51 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 3384.59 L 795.082 3384.59 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 3508.52 L 795.082 3508.52 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 3623.61 L 795.082 3623.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 3747.54 L 795.082 3747.54 Z "/>
|
||||
<text xml:space="preserve" transform="matrix(.56422337 0 0 1.7723478 0 0)" font-size="6.024833" font-family="Courier"><tspan y="44.389596" x="463.36793 466.98283 470.59773 474.21263 477.8275">10 ns</tspan></text>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 1287.27 3871.48 L 720 3871.48 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 795.082 5075.41 L 795.082 3871.48 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 3986.56 L 795.082 3986.56 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 4110.49 L 795.082 4110.49 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 4225.57 L 795.082 4225.57 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 4349.51 L 795.082 4349.51 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 4473.44 L 795.082 4473.44 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 4588.52 L 795.082 4588.52 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 4712.46 L 795.082 4712.46 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 4827.54 L 795.082 4827.54 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 4951.48 L 795.082 4951.48 Z "/>
|
||||
<text xml:space="preserve" transform="matrix(.56422337 0 0 1.7723478 0 0)" font-size="6.024833" font-family="Courier"><tspan y="44.389596" x="676.7462 680.3611 683.976 687.5909 691.2058">20 ns</tspan></text>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 1287.27 5075.41 L 720 5075.41 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 795.082 6279.34 L 795.082 5075.41 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 5190.49 L 795.082 5190.49 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 5314.43 L 795.082 5314.43 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 5429.51 L 795.082 5429.51 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 5553.44 L 795.082 5553.44 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 5677.38 L 795.082 5677.38 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 5792.46 L 795.082 5792.46 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 5916.39 L 795.082 5916.39 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 6031.48 L 795.082 6031.48 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 6155.41 L 795.082 6155.41 Z "/>
|
||||
<text xml:space="preserve" transform="matrix(.56422337 0 0 1.7723478 0 0)" font-size="6.024833" font-family="Courier"><tspan y="44.389596" x="890.1263 893.74118 897.3561 900.97097 904.5859">30 ns</tspan></text>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 1287.27 6279.34 L 720 6279.34 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 795.082 7200 L 795.082 6279.34 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 6394.43 L 795.082 6394.43 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 6518.36 L 795.082 6518.36 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 6633.44 L 795.082 6633.44 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 6757.38 L 795.082 6757.38 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 6881.31 L 795.082 6881.31 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 6996.39 L 795.082 6996.39 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 7120.33 L 795.082 7120.33 Z "/>
|
||||
<text xml:space="preserve" transform="matrix(.56422337 0 0 1.7723478 0 0)" font-size="6.024833" font-family="Courier"><tspan y="44.389596" x="1103.5045 1107.1194 1110.7343 1114.3493 1117.9641">40 ns</tspan></text>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 986.953 7191.15 L 986.953 1463.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 1463.61 L 970.266 1463.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 1569.84 L 970.266 1463.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 1569.84 L 970.266 1569.84 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 1915.08 L 878.504 1569.84 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 1915.08 L 878.504 1915.08 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 2030.16 L 970.266 1915.08 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 2030.16 L 970.266 2030.16 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 2145.25 L 878.504 2030.16 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 2145.25 L 878.504 2145.25 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 2375.41 L 970.266 2145.25 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 2375.41 L 970.266 2375.41 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 2481.64 L 878.504 2375.41 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 2481.64 L 878.504 2481.64 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 2596.72 L 970.266 2481.64 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 2596.72 L 970.266 2596.72 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 2711.8 L 878.504 2596.72 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 2711.8 L 878.504 2711.8 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 2826.89 L 970.266 2711.8 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 2826.89 L 970.266 2826.89 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 2941.97 L 878.504 2826.89 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 2941.97 L 878.504 2941.97 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 3057.05 L 970.266 2941.97 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 3057.05 L 970.266 3057.05 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 3172.13 L 878.504 3057.05 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 3172.13 L 878.504 3172.13 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 3287.21 L 970.266 3172.13 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 3287.21 L 970.266 3287.21 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 3393.44 L 878.504 3287.21 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 3393.44 L 878.504 3393.44 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 3508.52 L 970.266 3393.44 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 3508.52 L 970.266 3508.52 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 3623.61 L 878.504 3508.52 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 3623.61 L 878.504 3623.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 3853.77 L 970.266 3623.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 3853.77 L 970.266 3853.77 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 4314.1 L 878.504 3853.77 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 4314.1 L 878.504 4314.1 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 4420.33 L 970.266 4314.1 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 4420.33 L 970.266 4420.33 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 4535.41 L 878.504 4420.33 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 4535.41 L 878.504 4535.41 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 4650.49 L 970.266 4535.41 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 4650.49 L 970.266 4650.49 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 4880.66 L 878.504 4650.49 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 4880.66 L 878.504 4880.66 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 5110.82 L 970.266 4880.66 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 5110.82 L 970.266 5110.82 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 5225.9 L 878.504 5110.82 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 5225.9 L 878.504 5225.9 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 5447.21 L 970.266 5225.9 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 5447.21 L 970.266 5447.21 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 5562.3 L 878.504 5447.21 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 5562.3 L 878.504 5562.3 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 5677.38 L 970.266 5562.3 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 5677.38 L 970.266 5677.38 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 5792.46 L 878.504 5677.38 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 5792.46 L 878.504 5792.46 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 5907.54 L 970.266 5792.46 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 5907.54 L 970.266 5907.54 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 6022.63 L 878.504 5907.54 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 6022.63 L 878.504 6022.63 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 6243.93 L 970.266 6022.63 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 6243.93 L 970.266 6243.93 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 6934.43 L 878.504 6243.93 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 6934.43 L 878.504 6934.43 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 7049.51 L 970.266 6934.43 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 7049.51 L 970.266 7049.51 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 7164.59 L 878.504 7049.51 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 7164.59 L 878.504 7164.59 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 7200 L 970.266 7164.59 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 1128.77 7191.15 L 1128.77 1463.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 1463.61 L 1112.09 1463.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 1800 L 1112.09 1463.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 1800 L 1112.09 1800 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 1915.08 L 1020.32 1800 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 1915.08 L 1020.32 1915.08 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 2145.25 L 1112.09 1915.08 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 2145.25 L 1112.09 2145.25 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 2375.41 L 1020.32 2145.25 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 2375.41 L 1020.32 2375.41 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 2596.72 L 1112.09 2375.41 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 2596.72 L 1112.09 2596.72 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 2711.8 L 1020.32 2596.72 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 2711.8 L 1020.32 2711.8 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 2826.89 L 1112.09 2711.8 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 2826.89 L 1112.09 2826.89 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 3057.05 L 1020.32 2826.89 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 3057.05 L 1020.32 3057.05 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 3172.13 L 1112.09 3057.05 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 3172.13 L 1112.09 3172.13 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 3623.61 L 1020.32 3172.13 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 3623.61 L 1020.32 3623.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 3738.69 L 1112.09 3623.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 3738.69 L 1112.09 3738.69 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 3853.77 L 1020.32 3738.69 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 3853.77 L 1020.32 3853.77 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 3968.85 L 1112.09 3853.77 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 3968.85 L 1112.09 3968.85 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 4199.02 L 1020.32 3968.85 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 4199.02 L 1020.32 4199.02 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 4995.74 L 1112.09 4199.02 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 4995.74 L 1112.09 4995.74 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 5562.3 L 1020.32 4995.74 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 5562.3 L 1020.32 5562.3 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 5677.38 L 1112.09 5562.3 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 5677.38 L 1112.09 5677.38 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 5907.54 L 1020.32 5677.38 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 5907.54 L 1020.32 5907.54 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 6022.63 L 1112.09 5907.54 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 6022.63 L 1112.09 6022.63 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 6137.71 L 1020.32 6022.63 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 6137.71 L 1020.32 6137.71 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 6359.02 L 1112.09 6137.71 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 6359.02 L 1112.09 6359.02 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 6474.1 L 1020.32 6359.02 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 6474.1 L 1020.32 6474.1 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 6589.18 L 1112.09 6474.1 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 6589.18 L 1112.09 6589.18 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 6704.26 L 1020.32 6589.18 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 6704.26 L 1020.32 6704.26 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 6819.34 L 1112.09 6704.26 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 6819.34 L 1112.09 6819.34 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 7164.59 L 1020.32 6819.34 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 7164.59 L 1020.32 7164.59 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 7200 L 1112.09 7164.59 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 1270.59 7191.15 L 1270.59 1463.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 1463.61 L 1253.9 1463.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 1800 L 1253.9 1463.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 1800 L 1253.9 1800 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 1915.08 L 1162.14 1800 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 1915.08 L 1162.14 1915.08 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 2596.72 L 1253.9 1915.08 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 2596.72 L 1253.9 2596.72 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 2711.8 L 1162.14 2596.72 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 2711.8 L 1162.14 2711.8 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 2826.89 L 1253.9 2711.8 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 2826.89 L 1253.9 2826.89 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 2941.97 L 1162.14 2826.89 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 2941.97 L 1162.14 2941.97 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 3287.21 L 1253.9 2941.97 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 3287.21 L 1253.9 3287.21 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 3393.44 L 1162.14 3287.21 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 3393.44 L 1162.14 3393.44 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 3508.52 L 1253.9 3393.44 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 3508.52 L 1253.9 3508.52 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 3623.61 L 1162.14 3508.52 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 3623.61 L 1162.14 3623.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 3968.85 L 1253.9 3623.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 3968.85 L 1253.9 3968.85 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 4199.02 L 1162.14 3968.85 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 4199.02 L 1162.14 4199.02 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 5110.82 L 1253.9 4199.02 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 5110.82 L 1253.9 5110.82 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 5225.9 L 1162.14 5110.82 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 5225.9 L 1162.14 5225.9 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 5447.21 L 1253.9 5225.9 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 5447.21 L 1253.9 5447.21 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 5562.3 L 1162.14 5447.21 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 5562.3 L 1162.14 5562.3 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 5677.38 L 1253.9 5562.3 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 5677.38 L 1253.9 5677.38 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 5792.46 L 1162.14 5677.38 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 5792.46 L 1162.14 5792.46 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 6359.02 L 1253.9 5792.46 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 6359.02 L 1253.9 6359.02 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 6474.1 L 1162.14 6359.02 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 6474.1 L 1162.14 6474.1 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 6589.18 L 1253.9 6474.1 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 6589.18 L 1253.9 6589.18 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 6704.26 L 1162.14 6589.18 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 6704.26 L 1162.14 6704.26 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 6819.34 L 1253.9 6704.26 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 6819.34 L 1253.9 6819.34 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 6934.43 L 1162.14 6819.34 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 6934.43 L 1162.14 6934.43 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 7049.51 L 1253.9 6934.43 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 7049.51 L 1253.9 7049.51 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 7164.59 L 1162.14 7049.51 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 7164.59 L 1162.14 7164.59 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 7200 L 1253.9 7164.59 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#797979" d="M 1287.27 3464.26 L 853.477 3464.26 Z "/>
|
||||
</g>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="10" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 700 710 L 5420 710 L 5420 7210 L 700 7210 Z "/>
|
||||
<clipPath id="cp1">
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" d="M 710 720 L 5410 720 L 5410 7200 L 710 7200 Z "/>
|
||||
</clipPath>
|
||||
<g clip-path="url(#cp1)">
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#929292" d="M 710 720 L 5410 720 L 5410 7200 L 710 7200 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 853.477 1454.75 L 853.477 720 Z "/>
|
||||
<text xml:space="preserve" transform="matrix(.7900709 0 0 1.2657093 0 0)" font-size="17.92742" font-family="Courier"><tspan y="67.430728" x="94.49265 105.24911 116.00556 126.76201">Time</tspan></text>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" d="M 861.816 737.703 L 970.26498 737.703 L 970.26498 1445.902 L 861.816 1445.902 Z " fill="#f5f5f5"/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 986.953 1454.75 L 986.953 720 Z "/>
|
||||
<text xml:space="preserve" transform="matrix(.95371118 0 0 1.0485355 0 0)" font-size="8.910829" font-family="Courier"><tspan y="90.148799" x="78.279369 83.62586 88.97237">a=0</tspan></text>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" d="M 1003.64 737.703 L 1112.089 737.703 L 1112.089 1445.902 L 1003.64 1445.902 Z " fill="#f5f5f5"/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 1128.77 1454.75 L 1128.77 720 Z "/>
|
||||
<text xml:space="preserve" transform="matrix(.95371118 0 0 1.0485355 0 0)" font-size="8.910829" font-family="Courier"><tspan y="103.67414" x="78.279369 83.62586 88.97237">b=1</tspan></text>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" d="M 1145.45 737.703 L 1253.8989 737.703 L 1253.8989 1445.902 L 1145.45 1445.902 Z " fill="#f5f5f5"/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 1270.59 1454.75 L 1270.59 720 Z "/>
|
||||
<text xml:space="preserve" transform="matrix(.95371118 0 0 1.0485355 0 0)" font-size="8.910829" font-family="Courier"><tspan y="117.19967" x="78.279369 83.62586 88.97237 94.31886 99.66536 105.011859 110.35835 115.70485 121.05135 126.39785 131.74434 137.09085 142.43735">out_c_gfpga=0</tspan></text>
|
||||
</g>
|
||||
</g>
|
||||
</svg>
|
After Width: | Height: | Size: 50 KiB |
|
@ -0,0 +1,270 @@
|
|||
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
|
||||
<!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd">
|
||||
<svg xmlns="http://www.w3.org/2000/svg" xmlns:xlink="http://www.w3.org/1999/xlink" version="1.1" width="300pt" height="300pt" viewBox="70 70 300 300">
|
||||
<g enable-background="new">
|
||||
<clipPath id="cp0">
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" d="M 710 1463.61 L 5410 1463.61 L 5410 7200 L 710 7200 Z "/>
|
||||
</clipPath>
|
||||
<g clip-path="url(#cp0)">
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 710 1463.61 L 5410 1463.61 L 5410 7200 L 710 7200 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 1287.27 1463.61 L 720 1463.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 795.082 2667.54 L 795.082 1463.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 1578.69 L 795.082 1578.69 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 1702.62 L 795.082 1702.62 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 1817.7 L 795.082 1817.7 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 1941.64 L 795.082 1941.64 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 2065.57 L 795.082 2065.57 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 2180.66 L 795.082 2180.66 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 2304.59 L 795.082 2304.59 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 2419.67 L 795.082 2419.67 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 2543.61 L 795.082 2543.61 Z "/>
|
||||
<text xml:space="preserve" transform="matrix(.5150631 0 0 1.9415097 0 0)" font-size="5.499895" font-family="Courier"><tspan y="40.52197" x="282.44114">0</tspan></text>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 1287.27 2667.54 L 720 2667.54 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 795.082 3871.48 L 795.082 2667.54 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 2782.63 L 795.082 2782.63 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 2906.56 L 795.082 2906.56 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 3021.64 L 795.082 3021.64 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 3145.57 L 795.082 3145.57 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 3269.51 L 795.082 3269.51 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 3384.59 L 795.082 3384.59 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 3508.52 L 795.082 3508.52 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 3623.61 L 795.082 3623.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 3747.54 L 795.082 3747.54 Z "/>
|
||||
<text xml:space="preserve" transform="matrix(.56422337 0 0 1.7723478 0 0)" font-size="6.024833" font-family="Courier"><tspan y="44.389596" x="463.36793 466.98283 470.59773 474.21263 477.8275">10 ns</tspan></text>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 1287.27 3871.48 L 720 3871.48 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 795.082 5075.41 L 795.082 3871.48 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 3986.56 L 795.082 3986.56 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 4110.49 L 795.082 4110.49 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 4225.57 L 795.082 4225.57 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 4349.51 L 795.082 4349.51 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 4473.44 L 795.082 4473.44 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 4588.52 L 795.082 4588.52 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 4712.46 L 795.082 4712.46 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 4827.54 L 795.082 4827.54 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 4951.48 L 795.082 4951.48 Z "/>
|
||||
<text xml:space="preserve" transform="matrix(.56422337 0 0 1.7723478 0 0)" font-size="6.024833" font-family="Courier"><tspan y="44.389596" x="676.7462 680.3611 683.976 687.5909 691.2058">20 ns</tspan></text>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 1287.27 5075.41 L 720 5075.41 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 795.082 6279.34 L 795.082 5075.41 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 5190.49 L 795.082 5190.49 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 5314.43 L 795.082 5314.43 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 5429.51 L 795.082 5429.51 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 5553.44 L 795.082 5553.44 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 5677.38 L 795.082 5677.38 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 5792.46 L 795.082 5792.46 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 5916.39 L 795.082 5916.39 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 6031.48 L 795.082 6031.48 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 6155.41 L 795.082 6155.41 Z "/>
|
||||
<text xml:space="preserve" transform="matrix(.56422337 0 0 1.7723478 0 0)" font-size="6.024833" font-family="Courier"><tspan y="44.389596" x="890.1263 893.74118 897.3561 900.97097 904.5859">30 ns</tspan></text>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 1287.27 6279.34 L 720 6279.34 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 795.082 7200 L 795.082 6279.34 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 6394.43 L 795.082 6394.43 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 6518.36 L 795.082 6518.36 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 6633.44 L 795.082 6633.44 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 6757.38 L 795.082 6757.38 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 6881.31 L 795.082 6881.31 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 6996.39 L 795.082 6996.39 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 7120.33 L 795.082 7120.33 Z "/>
|
||||
<text xml:space="preserve" transform="matrix(.56422337 0 0 1.7723478 0 0)" font-size="6.024833" font-family="Courier"><tspan y="44.389596" x="1103.5045 1107.1194 1110.7343 1114.3493 1117.9641">40 ns</tspan></text>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 986.953 7191.15 L 986.953 1463.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 1463.61 L 970.266 1463.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 1569.84 L 970.266 1463.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 1569.84 L 970.266 1569.84 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 1915.08 L 878.504 1569.84 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 1915.08 L 878.504 1915.08 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 2030.16 L 970.266 1915.08 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 2030.16 L 970.266 2030.16 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 2145.25 L 878.504 2030.16 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 2145.25 L 878.504 2145.25 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 2375.41 L 970.266 2145.25 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 2375.41 L 970.266 2375.41 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 2481.64 L 878.504 2375.41 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 2481.64 L 878.504 2481.64 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 2596.72 L 970.266 2481.64 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 2596.72 L 970.266 2596.72 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 2711.8 L 878.504 2596.72 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 2711.8 L 878.504 2711.8 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 2826.89 L 970.266 2711.8 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 2826.89 L 970.266 2826.89 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 2941.97 L 878.504 2826.89 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 2941.97 L 878.504 2941.97 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 3057.05 L 970.266 2941.97 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 3057.05 L 970.266 3057.05 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 3172.13 L 878.504 3057.05 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 3172.13 L 878.504 3172.13 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 3287.21 L 970.266 3172.13 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 3287.21 L 970.266 3287.21 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 3393.44 L 878.504 3287.21 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 3393.44 L 878.504 3393.44 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 3508.52 L 970.266 3393.44 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 3508.52 L 970.266 3508.52 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 3623.61 L 878.504 3508.52 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 3623.61 L 878.504 3623.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 3853.77 L 970.266 3623.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 3853.77 L 970.266 3853.77 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 4314.1 L 878.504 3853.77 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 4314.1 L 878.504 4314.1 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 4420.33 L 970.266 4314.1 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 4420.33 L 970.266 4420.33 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 4535.41 L 878.504 4420.33 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 4535.41 L 878.504 4535.41 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 4650.49 L 970.266 4535.41 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 4650.49 L 970.266 4650.49 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 4880.66 L 878.504 4650.49 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 4880.66 L 878.504 4880.66 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 5110.82 L 970.266 4880.66 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 5110.82 L 970.266 5110.82 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 5225.9 L 878.504 5110.82 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 5225.9 L 878.504 5225.9 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 5447.21 L 970.266 5225.9 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 5447.21 L 970.266 5447.21 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 5562.3 L 878.504 5447.21 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 5562.3 L 878.504 5562.3 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 5677.38 L 970.266 5562.3 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 5677.38 L 970.266 5677.38 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 5792.46 L 878.504 5677.38 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 5792.46 L 878.504 5792.46 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 5907.54 L 970.266 5792.46 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 5907.54 L 970.266 5907.54 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 6022.63 L 878.504 5907.54 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 6022.63 L 878.504 6022.63 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 6243.93 L 970.266 6022.63 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 6243.93 L 970.266 6243.93 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 6934.43 L 878.504 6243.93 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 6934.43 L 878.504 6934.43 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 7049.51 L 970.266 6934.43 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 7049.51 L 970.266 7049.51 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 7164.59 L 878.504 7049.51 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 7164.59 L 878.504 7164.59 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 7200 L 970.266 7164.59 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 1128.77 7191.15 L 1128.77 1463.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 1463.61 L 1112.09 1463.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 1800 L 1112.09 1463.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 1800 L 1112.09 1800 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 1915.08 L 1020.32 1800 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 1915.08 L 1020.32 1915.08 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 2145.25 L 1112.09 1915.08 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 2145.25 L 1112.09 2145.25 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 2375.41 L 1020.32 2145.25 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 2375.41 L 1020.32 2375.41 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 2596.72 L 1112.09 2375.41 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 2596.72 L 1112.09 2596.72 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 2711.8 L 1020.32 2596.72 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 2711.8 L 1020.32 2711.8 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 2826.89 L 1112.09 2711.8 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 2826.89 L 1112.09 2826.89 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 3057.05 L 1020.32 2826.89 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 3057.05 L 1020.32 3057.05 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 3172.13 L 1112.09 3057.05 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 3172.13 L 1112.09 3172.13 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 3623.61 L 1020.32 3172.13 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 3623.61 L 1020.32 3623.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 3738.69 L 1112.09 3623.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 3738.69 L 1112.09 3738.69 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 3853.77 L 1020.32 3738.69 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 3853.77 L 1020.32 3853.77 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 3968.85 L 1112.09 3853.77 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 3968.85 L 1112.09 3968.85 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 4199.02 L 1020.32 3968.85 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 4199.02 L 1020.32 4199.02 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 4995.74 L 1112.09 4199.02 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 4995.74 L 1112.09 4995.74 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 5562.3 L 1020.32 4995.74 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 5562.3 L 1020.32 5562.3 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 5677.38 L 1112.09 5562.3 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 5677.38 L 1112.09 5677.38 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 5907.54 L 1020.32 5677.38 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 5907.54 L 1020.32 5907.54 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 6022.63 L 1112.09 5907.54 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 6022.63 L 1112.09 6022.63 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 6137.71 L 1020.32 6022.63 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 6137.71 L 1020.32 6137.71 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 6359.02 L 1112.09 6137.71 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 6359.02 L 1112.09 6359.02 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 6474.1 L 1020.32 6359.02 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 6474.1 L 1020.32 6474.1 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 6589.18 L 1112.09 6474.1 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 6589.18 L 1112.09 6589.18 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 6704.26 L 1020.32 6589.18 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 6704.26 L 1020.32 6704.26 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 6819.34 L 1112.09 6704.26 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 6819.34 L 1112.09 6819.34 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 7164.59 L 1020.32 6819.34 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 7164.59 L 1020.32 7164.59 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 7200 L 1112.09 7164.59 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 1270.59 7191.15 L 1270.59 1463.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 1463.61 L 1253.9 1463.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 1800 L 1253.9 1463.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 1800 L 1253.9 1800 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 1915.08 L 1162.14 1800 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 1915.08 L 1162.14 1915.08 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 2596.72 L 1253.9 1915.08 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 2596.72 L 1253.9 2596.72 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 2711.8 L 1162.14 2596.72 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 2711.8 L 1162.14 2711.8 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 2826.89 L 1253.9 2711.8 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 2826.89 L 1253.9 2826.89 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 2941.97 L 1162.14 2826.89 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 2941.97 L 1162.14 2941.97 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 3287.21 L 1253.9 2941.97 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 3287.21 L 1253.9 3287.21 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 3393.44 L 1162.14 3287.21 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 3393.44 L 1162.14 3393.44 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 3508.52 L 1253.9 3393.44 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 3508.52 L 1253.9 3508.52 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 3623.61 L 1162.14 3508.52 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 3623.61 L 1162.14 3623.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 3968.85 L 1253.9 3623.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 3968.85 L 1253.9 3968.85 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 4199.02 L 1162.14 3968.85 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 4199.02 L 1162.14 4199.02 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 5110.82 L 1253.9 4199.02 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 5110.82 L 1253.9 5110.82 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 5225.9 L 1162.14 5110.82 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 5225.9 L 1162.14 5225.9 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 5447.21 L 1253.9 5225.9 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 5447.21 L 1253.9 5447.21 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 5562.3 L 1162.14 5447.21 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 5562.3 L 1162.14 5562.3 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 5677.38 L 1253.9 5562.3 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 5677.38 L 1253.9 5677.38 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 5792.46 L 1162.14 5677.38 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 5792.46 L 1162.14 5792.46 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 6359.02 L 1253.9 5792.46 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 6359.02 L 1253.9 6359.02 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 6474.1 L 1162.14 6359.02 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 6474.1 L 1162.14 6474.1 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 6589.18 L 1253.9 6474.1 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 6589.18 L 1253.9 6589.18 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 6704.26 L 1162.14 6589.18 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 6704.26 L 1162.14 6704.26 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 6819.34 L 1253.9 6704.26 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 6819.34 L 1253.9 6819.34 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 6934.43 L 1162.14 6819.34 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 6934.43 L 1162.14 6934.43 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 7049.51 L 1253.9 6934.43 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 7049.51 L 1253.9 7049.51 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 7164.59 L 1162.14 7049.51 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 7164.59 L 1162.14 7164.59 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 7200 L 1253.9 7164.59 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#797979" d="M 1287.27 3464.26 L 853.477 3464.26 Z "/>
|
||||
</g>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="10" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 700 710 L 5420 710 L 5420 7210 L 700 7210 Z "/>
|
||||
<clipPath id="cp1">
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" d="M 710 720 L 5410 720 L 5410 7200 L 710 7200 Z "/>
|
||||
</clipPath>
|
||||
<g clip-path="url(#cp1)">
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#929292" d="M 710 720 L 5410 720 L 5410 7200 L 710 7200 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 853.477 1454.75 L 853.477 720 Z "/>
|
||||
<text xml:space="preserve" transform="matrix(.7900709 0 0 1.2657093 0 0)" font-size="17.92742" font-family="Courier"><tspan y="67.430728" x="94.49265 105.24911 116.00556 126.76201">Time</tspan></text>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" d="M 861.816 737.703 L 970.26498 737.703 L 970.26498 1445.902 L 861.816 1445.902 Z " fill="#f5f5f5"/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 986.953 1454.75 L 986.953 720 Z "/>
|
||||
<text xml:space="preserve" transform="matrix(.95371118 0 0 1.0485355 0 0)" font-size="8.910829" font-family="Courier"><tspan y="90.148799" x="78.279369 83.62586 88.97237">a=0</tspan></text>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" d="M 1003.64 737.703 L 1112.089 737.703 L 1112.089 1445.902 L 1003.64 1445.902 Z " fill="#f5f5f5"/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 1128.77 1454.75 L 1128.77 720 Z "/>
|
||||
<text xml:space="preserve" transform="matrix(.95371118 0 0 1.0485355 0 0)" font-size="8.910829" font-family="Courier"><tspan y="103.67414" x="78.279369 83.62586 88.97237">b=1</tspan></text>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" d="M 1145.45 737.703 L 1253.8989 737.703 L 1253.8989 1445.902 L 1145.45 1445.902 Z " fill="#f5f5f5"/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 1270.59 1454.75 L 1270.59 720 Z "/>
|
||||
<text xml:space="preserve" transform="matrix(.95371118 0 0 1.0485355 0 0)" font-size="8.910829" font-family="Courier"><tspan y="117.19967" x="78.279369 83.62586 88.97237 94.31886 99.66536 105.011859 110.35835 115.70485 121.05135 126.39785 131.74434 137.09085 142.43735">out_c_gfpga=0</tspan></text>
|
||||
</g>
|
||||
</g>
|
||||
</svg>
|
After Width: | Height: | Size: 50 KiB |
After Width: | Height: | Size: 104 KiB |
After Width: | Height: | Size: 14 KiB |
|
@ -0,0 +1,100 @@
|
|||
%PDF-1.4
|
||||
%Çì<C387>¢
|
||||
5 0 obj
|
||||
<</Length 6 0 R/Filter /FlateDecode>>
|
||||
stream
|
||||
xœ˜K<EFBFBD>GÇïý)êH˜z?\"EHÜ #åˆÈŠ]eHx‰¯<E280B0>«»\m»6°h³óŸŸÛîjÛå®÷Æ‚3¶ÿ<C2B6>Ï›ëöäe1w·÷[qÖ¸˜dgb±Ö¤2„f>¼1˜w[2_6ožoJ2Ͼ…o¿oÎ×¾Lâºòÿlo‘)-<2D>Þ„ZÄÚ™¡H®Æ.ãŠõ<C5A0>=熢¸äȹ¡Hλj!gÆ‘¢¸è乡(.ç‰û%EqÍfHÂïP$þ“¸_Rj„Ô87Ååà!r¿¤œ5w˜nÏ3>n®æ×æHEï¸:s¹Ýzþøœ|3<>{•Š¿$ÐOÄܸ\·Gö—ËßÛo—íÅÌö”1è›Ì„ìKƒÀWPrt'Ña4‘ß1)Š±ArœŠâR<52>xf‘¢¸êÕ&ErÉ\
|
||||
î—Åá…87Å¥ r¿¤(®4õ„I‘\¶ÑBà~I9¸gAp5àÓiªƒòžhÿîã’
|
||||
ì1c*Ð7™
|
||||
ø‹åñ hÞGr¿_RWR<57>À;)ŠkmoaŒÊOX—ì{¯
|
||||
ûºx±.fh)˜âš—Ð=)²Zl»/—ld<6C>µ•^<5E>Y7Mâšëw‚œ¶<¸i½rC‘œïUî÷+8²^9R—p<E28094>‹g~%7Wn(Š«>Cmܯà¦õÊ
ErÁb¢ØÄý
|
||||
Ž¬WŽÅµ\¡òu‘Ü´^¹¡Hw¢–¯‹äÈzåH‘\ê<>´zæWrd½r¤H.Ûžö<C5BE>ù•Y¯)Š+6â?̯ä¦õÊ
Er£Ïh³QpǨã°qA)¬>IYëÓY<C393>åïY}:„Á6ÅN5ãVÝüÿst½•ŠäκӖÒïÊɺ›Ü¬m©ü®œ¨âÎ:Ñ–ÒïÊÉ:™Ü¾§ðuVY¯ÜP—ì´¥ò»rC‘\Œ~lµÚRú]9R$wÖ<77>¶”~WNÖÝäRöÀÝ*ŒŒì$u±²“>WLÖðä|òPxN)Ž¬Wn(ŠkaŒ[ÚRù]¹¡Hnôm&{‡Çöƒ£8ëC¹§w8\,Ç÷vçqÌ[ZÂÏŽp/E[0Õ6÷m(<28>®˜Øþƺ<C386>´“NL÷‚c½@
|
||||
§+¦ZÁ<5A><C381>©,ͤOM©D> –ÈÒL8\)•Æ›;–²S>LlY;sXJ§+&SýÀ(Ó¥%zhá€ðì|ëï³nÛ‡¡þšRNå0yÑçèqšÐ<C5A1>ðâý8!î¯ ¿ígÇ‘D×öó…«ågXDãB#gõM÷1Å®œu›‡¼ööGа¸Ç`Ÿ}ô΃}MøFÒ/!',è>Ø_þº¾™s½…›w
ÔŒ/E.›
|
||||
‹ƒ=îßW~â|Õƒ¾eS?E;ßmG
|
||||
دF´xÊ鈶EÜt¢Ñ¾~êîÖYúqÉ7ƒ=G
|
||||
vŽI?l÷XlÁþùÔÞì~ì<>¾ìì¹3XêË?!XÌ‘Z«ößÏŸ^ݼº»ýïî5»ÿ}ØÃØendstream
|
||||
endobj
|
||||
6 0 obj
|
||||
1124
|
||||
endobj
|
||||
4 0 obj
|
||||
<</Type/Page/MediaBox [0 0 595 842]
|
||||
/Rotate 90/Parent 3 0 R
|
||||
/Resources<</ProcSet[/PDF /Text]
|
||||
/ExtGState 9 0 R
|
||||
/Font 10 0 R
|
||||
>>
|
||||
/Contents 5 0 R
|
||||
>>
|
||||
endobj
|
||||
3 0 obj
|
||||
<< /Type /Pages /Kids [
|
||||
4 0 R
|
||||
] /Count 1
|
||||
>>
|
||||
endobj
|
||||
1 0 obj
|
||||
<</Type /Catalog /Pages 3 0 R
|
||||
/Metadata 11 0 R
|
||||
>>
|
||||
endobj
|
||||
7 0 obj
|
||||
<</Type/ExtGState
|
||||
/OPM 1>>endobj
|
||||
9 0 obj
|
||||
<</R7
|
||||
7 0 R>>
|
||||
endobj
|
||||
10 0 obj
|
||||
<</R8
|
||||
8 0 R>>
|
||||
endobj
|
||||
8 0 obj
|
||||
<</BaseFont/Courier/Type/Font
|
||||
/Subtype/Type1>>
|
||||
endobj
|
||||
11 0 obj
|
||||
<</Type/Metadata
|
||||
/Subtype/XML/Length 1344>>stream
|
||||
<?xpacket begin='' id='W5M0MpCehiHzreSzNTczkc9d'?>
|
||||
<?adobe-xap-filters esc="CRLF"?>
|
||||
<x:xmpmeta xmlns:x='adobe:ns:meta/' x:xmptk='XMP toolkit 2.9.1-13, framework 1.6'>
|
||||
<rdf:RDF xmlns:rdf='http://www.w3.org/1999/02/22-rdf-syntax-ns#' xmlns:iX='http://ns.adobe.com/iX/1.0/'>
|
||||
<rdf:Description rdf:about='uuid:a24e87e5-c9ef-11f6-0000-a9eb29633eab' xmlns:pdf='http://ns.adobe.com/pdf/1.3/' pdf:Producer='GPL Ghostscript 9.25'/>
|
||||
<rdf:Description rdf:about='uuid:a24e87e5-c9ef-11f6-0000-a9eb29633eab' xmlns:xmp='http://ns.adobe.com/xap/1.0/'><xmp:ModifyDate>2021-03-30T21:42:15-06:00</xmp:ModifyDate>
|
||||
<xmp:CreateDate>2021-03-30T21:42:15-06:00</xmp:CreateDate>
|
||||
<xmp:CreatorTool>UnknownApplication</xmp:CreatorTool></rdf:Description>
|
||||
<rdf:Description rdf:about='uuid:a24e87e5-c9ef-11f6-0000-a9eb29633eab' xmlns:xapMM='http://ns.adobe.com/xap/1.0/mm/' xapMM:DocumentID='uuid:a24e87e5-c9ef-11f6-0000-a9eb29633eab'/>
|
||||
<rdf:Description rdf:about='uuid:a24e87e5-c9ef-11f6-0000-a9eb29633eab' xmlns:dc='http://purl.org/dc/elements/1.1/' dc:format='application/pdf'><dc:title><rdf:Alt><rdf:li xml:lang='x-default'>Untitled</rdf:li></rdf:Alt></dc:title></rdf:Description>
|
||||
</rdf:RDF>
|
||||
</x:xmpmeta>
|
||||
|
||||
|
||||
<?xpacket end='w'?>
|
||||
endstream
|
||||
endobj
|
||||
2 0 obj
|
||||
<</Producer(GPL Ghostscript 9.25)
|
||||
/CreationDate(D:20210330214215-06'00')
|
||||
/ModDate(D:20210330214215-06'00')>>endobj
|
||||
xref
|
||||
0 12
|
||||
0000000000 65535 f
|
||||
0000001448 00000 n
|
||||
0000003096 00000 n
|
||||
0000001389 00000 n
|
||||
0000001229 00000 n
|
||||
0000000015 00000 n
|
||||
0000001209 00000 n
|
||||
0000001513 00000 n
|
||||
0000001613 00000 n
|
||||
0000001554 00000 n
|
||||
0000001583 00000 n
|
||||
0000001675 00000 n
|
||||
trailer
|
||||
<< /Size 12 /Root 1 0 R /Info 2 0 R
|
||||
/ID [<58720271AC737B87F98369E4524E3394><58720271AC737B87F98369E4524E3394>]
|
||||
>>
|
||||
startxref
|
||||
3219
|
||||
%%EOF
|
|
@ -0,0 +1,130 @@
|
|||
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
|
||||
<!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd">
|
||||
<svg xmlns="http://www.w3.org/2000/svg" xmlns:xlink="http://www.w3.org/1999/xlink" version="1.1" width="300pt" height="300pt" viewBox="70 70 300 300">
|
||||
<g enable-background="new">
|
||||
<clipPath id="cp0">
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" d="M 710 1463.61 L 5410 1463.61 L 5410 7200 L 710 7200 Z "/>
|
||||
</clipPath>
|
||||
<g clip-path="url(#cp0)">
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 710 1463.61 L 5410 1463.61 L 5410 7200 L 710 7200 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 1287.27 1463.61 L 720 1463.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 795.082 3871.48 L 795.082 1463.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 1702.62 L 795.082 1702.62 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 1941.64 L 795.082 1941.64 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 2180.66 L 795.082 2180.66 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 2419.67 L 795.082 2419.67 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 2667.54 L 795.082 2667.54 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 2906.56 L 795.082 2906.56 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 3145.57 L 795.082 3145.57 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 3384.59 L 795.082 3384.59 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 3632.46 L 795.082 3632.46 Z "/>
|
||||
<text xml:space="preserve" transform="matrix(.5150631 0 0 1.9415097 0 0)" font-size="5.499895" font-family="Courier"><tspan y="40.52197" x="282.44114">0</tspan></text>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 1287.27 3871.48 L 720 3871.48 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 795.082 6279.34 L 795.082 3871.48 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 4110.49 L 795.082 4110.49 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 4349.51 L 795.082 4349.51 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 4588.52 L 795.082 4588.52 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 4827.54 L 795.082 4827.54 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 5075.41 L 795.082 5075.41 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 5314.43 L 795.082 5314.43 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 5553.44 L 795.082 5553.44 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 5792.46 L 795.082 5792.46 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 6040.33 L 795.082 6040.33 Z "/>
|
||||
<text xml:space="preserve" transform="matrix(.56422337 0 0 1.7723478 0 0)" font-size="6.024833" font-family="Courier"><tspan y="44.389596" x="676.7462 680.3611 683.976 687.5909 691.2058">10 ns</tspan></text>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 1287.27 6279.34 L 720 6279.34 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 795.082 7200 L 795.082 6279.34 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 6518.36 L 795.082 6518.36 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 6757.38 L 795.082 6757.38 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 6996.39 L 795.082 6996.39 Z "/>
|
||||
<text xml:space="preserve" transform="matrix(.56422337 0 0 1.7723478 0 0)" font-size="6.024833" font-family="Courier"><tspan y="44.389596" x="1103.5045 1107.1194 1110.7343 1114.3493 1117.9641">20 ns</tspan></text>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 986.953 7191.15 L 986.953 1463.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 1463.61 L 970.266 1463.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 1915.08 L 878.504 1463.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 1915.08 L 878.504 1915.08 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 2145.25 L 970.266 1915.08 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 2145.25 L 970.266 2145.25 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 2596.72 L 878.504 2145.25 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 2596.72 L 878.504 2596.72 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 2826.89 L 970.266 2596.72 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 2826.89 L 970.266 2826.89 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 3057.05 L 878.504 2826.89 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 3057.05 L 878.504 3057.05 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 3968.85 L 970.266 3057.05 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 3968.85 L 970.266 3968.85 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 4199.02 L 878.504 3968.85 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 4199.02 L 878.504 4199.02 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 5110.82 L 970.266 4199.02 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 5110.82 L 970.266 5110.82 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 6022.63 L 878.504 5110.82 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 6022.63 L 878.504 6022.63 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 6704.26 L 970.266 6022.63 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 6704.26 L 970.266 6704.26 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 7200 L 878.504 6704.26 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 1128.77 7191.15 L 1128.77 1463.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 1463.61 L 1112.09 1463.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 1684.92 L 1112.09 1463.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 1684.92 L 1112.09 1684.92 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 2145.25 L 1020.32 1684.92 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 2145.25 L 1020.32 2145.25 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 2826.89 L 1112.09 2145.25 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 2826.89 L 1112.09 2826.89 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 3057.05 L 1020.32 2826.89 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 3057.05 L 1020.32 3057.05 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 3287.21 L 1112.09 3057.05 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 3287.21 L 1112.09 3287.21 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 3508.52 L 1020.32 3287.21 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 3508.52 L 1020.32 3508.52 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 4420.33 L 1112.09 3508.52 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 4420.33 L 1112.09 4420.33 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 5110.82 L 1020.32 4420.33 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 5110.82 L 1020.32 5110.82 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 5562.3 L 1112.09 5110.82 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 5562.3 L 1112.09 5562.3 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 6022.63 L 1020.32 5562.3 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 6022.63 L 1020.32 6022.63 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 6252.79 L 1112.09 6022.63 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 6252.79 L 1112.09 6252.79 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 6934.43 L 1020.32 6252.79 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 6934.43 L 1020.32 6934.43 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 7200 L 1112.09 6934.43 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 1270.59 7191.15 L 1270.59 1463.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 1463.61 L 1253.9 1463.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 1684.92 L 1253.9 1463.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 1684.92 L 1253.9 1684.92 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 1915.08 L 1162.14 1684.92 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 1915.08 L 1162.14 1915.08 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 2826.89 L 1253.9 1915.08 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 2826.89 L 1253.9 2826.89 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 3057.05 L 1162.14 2826.89 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 3057.05 L 1162.14 3057.05 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 5562.3 L 1253.9 3057.05 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 5562.3 L 1253.9 5562.3 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 6022.63 L 1162.14 5562.3 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 6022.63 L 1162.14 6022.63 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 6704.26 L 1253.9 6022.63 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 6704.26 L 1253.9 6704.26 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 6934.43 L 1162.14 6704.26 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 6934.43 L 1162.14 6934.43 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 7200 L 1253.9 6934.43 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#797979" d="M 1287.27 1622.95 L 853.477 1622.95 Z "/>
|
||||
</g>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="10" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 700 710 L 5420 710 L 5420 7210 L 700 7210 Z "/>
|
||||
<clipPath id="cp1">
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" d="M 710 720 L 5410 720 L 5410 7200 L 710 7200 Z "/>
|
||||
</clipPath>
|
||||
<g clip-path="url(#cp1)">
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#929292" d="M 710 720 L 5410 720 L 5410 7200 L 710 7200 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 853.477 1454.75 L 853.477 720 Z "/>
|
||||
<text xml:space="preserve" transform="matrix(.7900709 0 0 1.2657093 0 0)" font-size="17.92742" font-family="Courier"><tspan y="67.430728" x="94.49265 105.24911 116.00556 126.76201">Time</tspan></text>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" d="M 861.816 737.703 L 970.26498 737.703 L 970.26498 1445.902 L 861.816 1445.902 Z " fill="#f5f5f5"/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 986.953 1454.75 L 986.953 720 Z "/>
|
||||
<text xml:space="preserve" transform="matrix(.95371118 0 0 1.0485355 0 0)" font-size="8.910829" font-family="Courier"><tspan y="90.148799" x="78.279369 83.62586 88.97237">a=1</tspan></text>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" d="M 1003.64 737.703 L 1112.089 737.703 L 1112.089 1445.902 L 1003.64 1445.902 Z " fill="#f5f5f5"/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 1128.77 1454.75 L 1128.77 720 Z "/>
|
||||
<text xml:space="preserve" transform="matrix(.95371118 0 0 1.0485355 0 0)" font-size="8.910829" font-family="Courier"><tspan y="103.67414" x="78.279369 83.62586 88.97237">b=0</tspan></text>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" d="M 1145.45 737.703 L 1253.8989 737.703 L 1253.8989 1445.902 L 1145.45 1445.902 Z " fill="#f5f5f5"/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 1270.59 1454.75 L 1270.59 720 Z "/>
|
||||
<text xml:space="preserve" transform="matrix(.95371118 0 0 1.0485355 0 0)" font-size="8.910829" font-family="Courier"><tspan y="117.19967" x="78.279369 83.62586 88.97237 94.31886 99.66536 105.011859 110.35835 115.70485 121.05135 126.39785 131.74434 137.09085 142.43735">out_c_gfpga=0</tspan></text>
|
||||
</g>
|
||||
</g>
|
||||
</svg>
|
After Width: | Height: | Size: 23 KiB |
|
@ -0,0 +1,130 @@
|
|||
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
|
||||
<!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN" "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd">
|
||||
<svg xmlns="http://www.w3.org/2000/svg" xmlns:xlink="http://www.w3.org/1999/xlink" version="1.1" width="300pt" height="300pt" viewBox="70 70 300 300">
|
||||
<g enable-background="new">
|
||||
<clipPath id="cp0">
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" d="M 710 1463.61 L 5410 1463.61 L 5410 7200 L 710 7200 Z "/>
|
||||
</clipPath>
|
||||
<g clip-path="url(#cp0)">
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 710 1463.61 L 5410 1463.61 L 5410 7200 L 710 7200 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 1287.27 1463.61 L 720 1463.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 795.082 3871.48 L 795.082 1463.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 1702.62 L 795.082 1702.62 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 1941.64 L 795.082 1941.64 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 2180.66 L 795.082 2180.66 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 2419.67 L 795.082 2419.67 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 2667.54 L 795.082 2667.54 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 2906.56 L 795.082 2906.56 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 3145.57 L 795.082 3145.57 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 3384.59 L 795.082 3384.59 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 3632.46 L 795.082 3632.46 Z "/>
|
||||
<text xml:space="preserve" transform="matrix(.5150631 0 0 1.9415097 0 0)" font-size="5.499895" font-family="Courier"><tspan y="40.52197" x="282.44114">0</tspan></text>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 1287.27 3871.48 L 720 3871.48 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 795.082 6279.34 L 795.082 3871.48 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 4110.49 L 795.082 4110.49 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 4349.51 L 795.082 4349.51 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 4588.52 L 795.082 4588.52 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 4827.54 L 795.082 4827.54 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 5075.41 L 795.082 5075.41 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 5314.43 L 795.082 5314.43 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 5553.44 L 795.082 5553.44 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 5792.46 L 795.082 5792.46 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 6040.33 L 795.082 6040.33 Z "/>
|
||||
<text xml:space="preserve" transform="matrix(.56422337 0 0 1.7723478 0 0)" font-size="6.024833" font-family="Courier"><tspan y="44.389596" x="676.7462 680.3611 683.976 687.5909 691.2058">10 ns</tspan></text>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 1287.27 6279.34 L 720 6279.34 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 795.082 7200 L 795.082 6279.34 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 6518.36 L 795.082 6518.36 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 6757.38 L 795.082 6757.38 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 845.133 6996.39 L 795.082 6996.39 Z "/>
|
||||
<text xml:space="preserve" transform="matrix(.56422337 0 0 1.7723478 0 0)" font-size="6.024833" font-family="Courier"><tspan y="44.389596" x="1103.5045 1107.1194 1110.7343 1114.3493 1117.9641">20 ns</tspan></text>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 986.953 7191.15 L 986.953 1463.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 1463.61 L 970.266 1463.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 1915.08 L 878.504 1463.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 1915.08 L 878.504 1915.08 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 2145.25 L 970.266 1915.08 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 2145.25 L 970.266 2145.25 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 2596.72 L 878.504 2145.25 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 2596.72 L 878.504 2596.72 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 2826.89 L 970.266 2596.72 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 2826.89 L 970.266 2826.89 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 3057.05 L 878.504 2826.89 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 3057.05 L 878.504 3057.05 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 3968.85 L 970.266 3057.05 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 3968.85 L 970.266 3968.85 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 4199.02 L 878.504 3968.85 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 4199.02 L 878.504 4199.02 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 5110.82 L 970.266 4199.02 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 5110.82 L 970.266 5110.82 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 6022.63 L 878.504 5110.82 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 6022.63 L 878.504 6022.63 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 970.266 6704.26 L 970.266 6022.63 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 6704.26 L 970.266 6704.26 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 878.504 7200 L 878.504 6704.26 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 1128.77 7191.15 L 1128.77 1463.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 1463.61 L 1112.09 1463.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 1684.92 L 1112.09 1463.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 1684.92 L 1112.09 1684.92 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 2145.25 L 1020.32 1684.92 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 2145.25 L 1020.32 2145.25 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 2826.89 L 1112.09 2145.25 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 2826.89 L 1112.09 2826.89 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 3057.05 L 1020.32 2826.89 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 3057.05 L 1020.32 3057.05 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 3287.21 L 1112.09 3057.05 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 3287.21 L 1112.09 3287.21 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 3508.52 L 1020.32 3287.21 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 3508.52 L 1020.32 3508.52 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 4420.33 L 1112.09 3508.52 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 4420.33 L 1112.09 4420.33 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 5110.82 L 1020.32 4420.33 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 5110.82 L 1020.32 5110.82 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 5562.3 L 1112.09 5110.82 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 5562.3 L 1112.09 5562.3 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 6022.63 L 1020.32 5562.3 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 6022.63 L 1020.32 6022.63 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 6252.79 L 1112.09 6022.63 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 6252.79 L 1112.09 6252.79 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1020.32 6934.43 L 1020.32 6252.79 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 6934.43 L 1020.32 6934.43 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1112.09 7200 L 1112.09 6934.43 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 1270.59 7191.15 L 1270.59 1463.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 1463.61 L 1253.9 1463.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 1684.92 L 1253.9 1463.61 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 1684.92 L 1253.9 1684.92 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 1915.08 L 1162.14 1684.92 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 1915.08 L 1162.14 1915.08 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 2826.89 L 1253.9 1915.08 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 2826.89 L 1253.9 2826.89 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 3057.05 L 1162.14 2826.89 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 3057.05 L 1162.14 3057.05 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 5562.3 L 1253.9 3057.05 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 5562.3 L 1253.9 5562.3 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 6022.63 L 1162.14 5562.3 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 6022.63 L 1162.14 6022.63 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 6704.26 L 1253.9 6022.63 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 6704.26 L 1253.9 6704.26 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1162.14 6934.43 L 1162.14 6704.26 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 6934.43 L 1162.14 6934.43 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 1253.9 7200 L 1253.9 6934.43 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#797979" d="M 1287.27 1622.95 L 853.477 1622.95 Z "/>
|
||||
</g>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="10" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#000000" d="M 700 710 L 5420 710 L 5420 7210 L 700 7210 Z "/>
|
||||
<clipPath id="cp1">
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" d="M 710 720 L 5410 720 L 5410 7200 L 710 7200 Z "/>
|
||||
</clipPath>
|
||||
<g clip-path="url(#cp1)">
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#929292" d="M 710 720 L 5410 720 L 5410 7200 L 710 7200 Z "/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 853.477 1454.75 L 853.477 720 Z "/>
|
||||
<text xml:space="preserve" transform="matrix(.7900709 0 0 1.2657093 0 0)" font-size="17.92742" font-family="Courier"><tspan y="67.430728" x="94.49265 105.24911 116.00556 126.76201">Time</tspan></text>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" d="M 861.816 737.703 L 970.26498 737.703 L 970.26498 1445.902 L 861.816 1445.902 Z " fill="#f5f5f5"/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 986.953 1454.75 L 986.953 720 Z "/>
|
||||
<text xml:space="preserve" transform="matrix(.95371118 0 0 1.0485355 0 0)" font-size="8.910829" font-family="Courier"><tspan y="90.148799" x="78.279369 83.62586 88.97237">a=1</tspan></text>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" d="M 1003.64 737.703 L 1112.089 737.703 L 1112.089 1445.902 L 1003.64 1445.902 Z " fill="#f5f5f5"/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 1128.77 1454.75 L 1128.77 720 Z "/>
|
||||
<text xml:space="preserve" transform="matrix(.95371118 0 0 1.0485355 0 0)" font-size="8.910829" font-family="Courier"><tspan y="103.67414" x="78.279369 83.62586 88.97237">b=0</tspan></text>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" d="M 1145.45 737.703 L 1253.8989 737.703 L 1253.8989 1445.902 L 1145.45 1445.902 Z " fill="#f5f5f5"/>
|
||||
<path transform="matrix(0,.1,.1,0,0,0)" stroke-width="5" stroke-linecap="square" stroke-miterlimit="10" stroke-linejoin="miter" fill="none" stroke="#cbcbcb" d="M 1270.59 1454.75 L 1270.59 720 Z "/>
|
||||
<text xml:space="preserve" transform="matrix(.95371118 0 0 1.0485355 0 0)" font-size="8.910829" font-family="Courier"><tspan y="117.19967" x="78.279369 83.62586 88.97237 94.31886 99.66536 105.011859 110.35835 115.70485 121.05135 126.39785 131.74434 137.09085 142.43735">out_c_gfpga=0</tspan></text>
|
||||
</g>
|
||||
</g>
|
||||
</svg>
|
After Width: | Height: | Size: 23 KiB |
After Width: | Height: | Size: 100 KiB |
After Width: | Height: | Size: 13 KiB |
|
@ -9,3 +9,4 @@ Architecture Modeling
|
|||
|
||||
quick_start
|
||||
user_defined_temp_tutorial
|
||||
open_cell_libraries_tutorial
|
||||
|
|
|
@ -0,0 +1,480 @@
|
|||
Build an FPGA fabric using Standard Cell Libraries
|
||||
==================================================
|
||||
|
||||
Introduction
|
||||
~~~~~~~~~~~~
|
||||
|
||||
**In this tutorial, we will**
|
||||
- Showcase how to create an architecture description based on standard cells, using OpenFPGA's circuit modeling language
|
||||
- Use Skywater's Process Design Kit (`PDK`_) cell library to create an OR Gate circuit model for OpenFPGA
|
||||
- Verify that the standard cell library file was correctly bound into the selected architecture file by looking at auto-generated OpenFPGA files and checking simulation waveforms in GTKWave
|
||||
Through this example, we will show how to bind standard cell library files with OpenFPGA Architectures.
|
||||
|
||||
.. note:: We showcase the methodology by considering the open-source Skywater 130nm PDK so that users can easily reproduce the results.
|
||||
|
||||
Create and Verify the OpenFPGA Circuit Model
|
||||
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
|
||||
.. note:: In this tutorial, we focus on binding a 2-input **OR** gate from a standard cell library to a circuit model in OpenFPGA's architecture description file. Note that the approach can be generalized to any circuit model.
|
||||
|
||||
For this tutorial, we start with an example where the HDL netlist of an 2-input **OR** gate that is auto-generated by OpenFPGA. After updating the architecture file, the auto-generated HDL netlist by OpenFPGA will directly instantiate a standard cell from the open-source Skywater 130nm PDK library.
|
||||
To follow along, go to the root directory of OpenFPGA and enter:
|
||||
|
||||
.. code-block:: bash
|
||||
|
||||
python3 openfpga_flow/scripts_run_fpga_task.py fpga_verilog/adder/hard_adder --debug --show_thread_logs
|
||||
|
||||
This will run a prebuilt task with OpenFPGA cell libraries. When the task is finished, there will be many auto-generated files to look through. For this tutorial, we are interested in the ``luts.v`` and ``and2_formal.vcd`` files. The **OR2** gate is used as a control circuit in the **lut6** circuit model, and the ``and2_formal.vcd`` file will have the resulting waveforms from the simulation run by the task. To open the ``luts.v`` file, run the following command:
|
||||
|
||||
.. code-block:: bash
|
||||
|
||||
vi openfpga_flow/tasks/fpga_verilog/adder/hard_adder/latest/k6_frac_N10_tileable_adder_chain_40nm/and2/MIN_ROUTE_CHAN_WIDTH/SRC/sub_module/luts.v
|
||||
|
||||
.. note:: Users can find full details about netlist organization in our documentation: :ref:`fabric_netlists`
|
||||
|
||||
The ``luts.v`` file represents a Look Up Table within the OpenFPGA architecture. The important lines of this file for the tutorial are highlighted below.
|
||||
These lines show the instantiation of OpenFPGA's **OR2** cell library.
|
||||
|
||||
.. code-block:: verilog
|
||||
:emphasize-lines: 58,59,72,73,74,75,76,77,78,79,80
|
||||
|
||||
|
||||
//-------------------------------------------
|
||||
// FPGA Synthesizable Verilog Netlist
|
||||
// Description: Look-Up Tables
|
||||
// Author: Xifan TANG
|
||||
// Organization: University of Utah
|
||||
// Date: Tue Mar 30 15:25:03 2021
|
||||
//-------------------------------------------
|
||||
//----- Time scale -----
|
||||
`timescale 1ns / 1ps
|
||||
|
||||
//----- Default net type -----
|
||||
`default_nettype none
|
||||
|
||||
// ----- Verilog module for frac_lut6 -----
|
||||
module frac_lut6(in,
|
||||
sram,
|
||||
sram_inv,
|
||||
mode,
|
||||
mode_inv,
|
||||
lut4_out,
|
||||
lut5_out,
|
||||
lut6_out);
|
||||
//----- INPUT PORTS -----
|
||||
input [0:5] in;
|
||||
//----- INPUT PORTS -----
|
||||
input [0:63] sram;
|
||||
//----- INPUT PORTS -----
|
||||
input [0:63] sram_inv;
|
||||
//----- INPUT PORTS -----
|
||||
input [0:1] mode;
|
||||
//----- INPUT PORTS -----
|
||||
input [0:1] mode_inv;
|
||||
//----- OUTPUT PORTS -----
|
||||
output [0:3] lut4_out;
|
||||
//----- OUTPUT PORTS -----
|
||||
output [0:1] lut5_out;
|
||||
//----- OUTPUT PORTS -----
|
||||
output [0:0] lut6_out;
|
||||
|
||||
//----- BEGIN wire-connection ports -----
|
||||
wire [0:5] in;
|
||||
wire [0:3] lut4_out;
|
||||
wire [0:1] lut5_out;
|
||||
wire [0:0] lut6_out;
|
||||
//----- END wire-connection ports -----
|
||||
|
||||
|
||||
//----- BEGIN Registered ports -----
|
||||
//----- END Registered ports -----
|
||||
|
||||
|
||||
wire [0:0] INVTX1_0_out;
|
||||
wire [0:0] INVTX1_1_out;
|
||||
wire [0:0] INVTX1_2_out;
|
||||
wire [0:0] INVTX1_3_out;
|
||||
wire [0:0] INVTX1_4_out;
|
||||
wire [0:0] INVTX1_5_out;
|
||||
wire [0:0] OR2_0_out;
|
||||
wire [0:0] OR2_1_out;
|
||||
wire [0:0] buf4_0_out;
|
||||
wire [0:0] buf4_1_out;
|
||||
wire [0:0] buf4_2_out;
|
||||
wire [0:0] buf4_3_out;
|
||||
wire [0:0] buf4_4_out;
|
||||
wire [0:0] buf4_5_out;
|
||||
|
||||
// ----- BEGIN Local short connections -----
|
||||
// ----- END Local short connections -----
|
||||
// ----- BEGIN Local output short connections -----
|
||||
// ----- END Local output short connections -----
|
||||
|
||||
OR2 OR2_0_ (
|
||||
.a(mode[0:0]),
|
||||
.b(in[4]),
|
||||
.out(OR2_0_out));
|
||||
|
||||
OR2 OR2_1_ (
|
||||
.a(mode[1]),
|
||||
.b(in[5]),
|
||||
.out(OR2_1_out));
|
||||
|
||||
INVTX1 INVTX1_0_ (
|
||||
.in(in[0:0]),
|
||||
.out(INVTX1_0_out));
|
||||
|
||||
INVTX1 INVTX1_1_ (
|
||||
.in(in[1]),
|
||||
.out(INVTX1_1_out));
|
||||
|
||||
INVTX1 INVTX1_2_ (
|
||||
.in(in[2]),
|
||||
.out(INVTX1_2_out));
|
||||
|
||||
INVTX1 INVTX1_3_ (
|
||||
.in(in[3]),
|
||||
.out(INVTX1_3_out));
|
||||
|
||||
INVTX1 INVTX1_4_ (
|
||||
.in(OR2_0_out),
|
||||
.out(INVTX1_4_out));
|
||||
|
||||
INVTX1 INVTX1_5_ (
|
||||
.in(OR2_1_out),
|
||||
.out(INVTX1_5_out));
|
||||
|
||||
buf4 buf4_0_ (
|
||||
.in(in[0:0]),
|
||||
.out(buf4_0_out));
|
||||
|
||||
buf4 buf4_1_ (
|
||||
.in(in[1]),
|
||||
.out(buf4_1_out));
|
||||
|
||||
buf4 buf4_2_ (
|
||||
.in(in[2]),
|
||||
.out(buf4_2_out));
|
||||
|
||||
buf4 buf4_3_ (
|
||||
.in(in[3]),
|
||||
.out(buf4_3_out));
|
||||
|
||||
buf4 buf4_4_ (
|
||||
.in(OR2_0_out),
|
||||
.out(buf4_4_out));
|
||||
|
||||
buf4 buf4_5_ (
|
||||
.in(OR2_1_out),
|
||||
.out(buf4_5_out));
|
||||
|
||||
frac_lut6_mux frac_lut6_mux_0_ (
|
||||
.in(sram[0:63]),
|
||||
.sram({buf4_0_out, buf4_1_out, buf4_2_out, buf4_3_out, buf4_4_out, buf4_5_out}),
|
||||
.sram_inv({INVTX1_0_out, INVTX1_1_out, INVTX1_2_out, INVTX1_3_out, INVTX1_4_out, INVTX1_5_out}),
|
||||
.lut4_out(lut4_out[0:3]),
|
||||
.lut5_out(lut5_out[0:1]),
|
||||
.lut6_out(lut6_out));
|
||||
|
||||
endmodule
|
||||
// ----- END Verilog module for frac_lut6 -----
|
||||
|
||||
//----- Default net type -----
|
||||
`default_nettype none
|
||||
|
||||
|
||||
We will also need to look at the control's simulation waveforms. Viewing the waveforms is done through `GTKWave`_ with the following command:
|
||||
|
||||
.. code-block:: bash
|
||||
|
||||
gtkwave openfpga_flow/tasks/fpga_verilog/adder/hard_adder/latest/k6_frac_N10_tileable_adder_chain_40nm/and2/MIN_ROUTE_CHAN_WIDTH/and2_formal.vcd &
|
||||
|
||||
The simulation waveforms should look similar to the following :numref:`fig_control_output`:
|
||||
|
||||
.. _fig_control_output:
|
||||
|
||||
.. figure:: ./figures/Control_Waves2.png
|
||||
:scale: 75%
|
||||
|
||||
Simulation Waveforms with OpenFPGA Circuit Model
|
||||
|
||||
|
||||
.. note:: The waveform inputs do not need to exactly match because the testbench provides input in random intervals.
|
||||
|
||||
We have now finished creating the control and viewing the important sections for this tutorial. We can now incorporate Skywater's cell library to create a new circuit model.
|
||||
|
||||
Clone Skywater PDK into OpenFPGA
|
||||
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
We will be using the open-source Skywater PDK to aid us in creating our circuit model. We start by cloning the Skywater PDK github repository into the OpenFPGA root directory.
|
||||
Run the following command in the root directory of OpenFPGA:
|
||||
|
||||
.. code-block:: bash
|
||||
|
||||
git clone https://github.com/google/skywater-pdk.git
|
||||
|
||||
Once the repository has been cloned, we need to build the cell libraries by running the following command in the Skywater PDK root directory:
|
||||
|
||||
.. code-block:: bash
|
||||
|
||||
SUBMODULE_VERSION=latest make submodules -j3 || make submodules -j1
|
||||
|
||||
This will take some time to complete due to the size of the libraries. Once the libraries are made, creating the circuit model can begin.
|
||||
|
||||
Create and Verify the Standard Cell Library Circuit Model
|
||||
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
|
||||
To create the circuit model, we will modify the ``k6_frac_N10_adder_chain_40nm_openfpga.xml`` OpenFPGA architecture file. We will remove the circuit model
|
||||
for OpenFPGA's **OR2** gate, replace the circuit model with one referencing the Skywater cell library, and modify the LUT that references the old **OR2**
|
||||
circuit model to reference our new circuit model. We begin by running the following command in the root directory:
|
||||
|
||||
.. code-block:: bash
|
||||
|
||||
vi openfpga_flow/openfpga_arch/k6_frac_N10_adder_chain_40nm_openfpga.xml
|
||||
|
||||
We begin the circuit model creation process by replacing **LINE67** to **LINE81** with the following:
|
||||
|
||||
.. code-block:: xml
|
||||
|
||||
<circuit_model type="gate" name="sky130_fd_sc_ls__or2_1" prefix="sky130_fd_sc_ls__or2_1" verilog_netlist="${OPENFPGA_PATH}/skywater-pdk/libraries/sky130_fd_sc_ls/latest/cells/or2/sky130_fd_sc_ls__or2_1.v">
|
||||
<design_technology type="cmos" topology="OR"/>
|
||||
<input_buffer exist="false"/>
|
||||
<output_buffer exist="false"/>
|
||||
<port type="input" prefix="A" size="1"/>
|
||||
<port type="input" prefix="B" size="1"/>
|
||||
<port type="output" prefix="X" size="1"/>
|
||||
</circuit_model>
|
||||
|
||||
.. note:: The name of the circuit model must be consistent with the standard cell!
|
||||
|
||||
The most significant differences from the control in this section are changing the ``name`` and ``prefix`` to match the module name from Skywater's cell library and including a path
|
||||
to the verilog file using ``verilog_netlist``. The second change to ``k6_frac_N10_adder_chain_40nm_openfpga.xml`` is at **LINE160**, where we will be replacing the line with the following:
|
||||
|
||||
.. code-block:: xml
|
||||
|
||||
<port type="input" prefix="in" size="6" tri_state_map="----11" circuit_model_name="sky130_fd_sc_ls__or2_1"/>
|
||||
|
||||
This change replaces the input of the LUT with our new circuit model. Everything is in place to begin verification.
|
||||
|
||||
Verification begins by running the following command:
|
||||
|
||||
.. code-block:: bash
|
||||
|
||||
python3 openfpga_flow/scripts_run_fpga_task.py fpga_verilog/adder/hard_adder --debug --show_thread_logs
|
||||
|
||||
The task may output this error:
|
||||
|
||||
.. code-block:: bash
|
||||
|
||||
ERROR (00_and2_MIN_ROUTE_CHAN_WIDTH) - iverilog_verification run failed with returncode 1
|
||||
ERROR (00_and2_MIN_ROUTE_CHAN_WIDTH) - command iverilog -o compiled_and2 ./SRC/and2_include_netlists.v -s and2_top_formal_verification_random_tb
|
||||
ERROR (00_and2_MIN_ROUTE_CHAN_WIDTH) - -->>error: Unable to find the root module "and2_top_formal_verification_random_tb" in the Verilog source.
|
||||
ERROR (00_and2_MIN_ROUTE_CHAN_WIDTH) - -->>1 error(s) during elaboration.
|
||||
ERROR (00_and2_MIN_ROUTE_CHAN_WIDTH) - Current working directory : OpenFPGA/openfpga_flow/tasks/fpga_verilog/adder/hard_adder/run057/k6_frac_N10_tileable_adder_chain_40nm/and2/MIN_ROUTE_CHAN_WIDTH
|
||||
ERROR (00_and2_MIN_ROUTE_CHAN_WIDTH) - Failed to run iverilog_verification task
|
||||
ERROR (00_and2_MIN_ROUTE_CHAN_WIDTH) - Exiting . . . . . .
|
||||
ERROR (00_and2_MIN_ROUTE_CHAN_WIDTH) - Failed to execute openfpga flow - 00_and2_MIN_ROUTE_CHAN_WIDTH
|
||||
|
||||
|
||||
This error has occurred because IVerilog could not find the path to the Skywater PDK Cell Library we have selected. To fix this, we need to go to the
|
||||
``iverilog_output.txt`` file found here:
|
||||
|
||||
.. code-block:: bash
|
||||
|
||||
emacs openfpga_flow/tasks/fpga_verilog/adder/hard_adder/latest/k6_frac_N10_tileable_adder_chain_40nm/and2/MIN_ROUTE_CHAN_WIDTH/iverilog_output.txt
|
||||
|
||||
Replace all the text within ``iverilog_output.txt`` with the following:
|
||||
|
||||
.. code-block:: bash
|
||||
|
||||
iverilog -o compiled_and2 ./SRC/and2_include_netlists.v -s and2_top_formal_verification_random_tb -I ${OPENFPGA_PATH}/skywater-pdk/libraries/sky130_fd_sc_ls/latest/cells/or2
|
||||
|
||||
We can now manually rerun IVerilog, a tutorial on manually running IVerilog can be found at our From Verilog to `Verification`_ tutorial. From the root
|
||||
directory, run the following commands:
|
||||
|
||||
.. code-block:: bash
|
||||
|
||||
cd openfpga_flow/tasks/fpga_verilog/adder/hard_adder/latest/k6_frac_N10_tileable_adder_chain_40nm/and2/MIN_ROUTE_CHAN_WIDTH/
|
||||
|
||||
source iverilog_output.txt
|
||||
|
||||
vvp compiled_and2
|
||||
|
||||
With IVerilog complete, we can verify the cell library has been bound correctly by viewing the ``luts.v`` file and the waveforms with GTKWave.
|
||||
|
||||
From the root directory, view the ``luts.v`` file with this command:
|
||||
|
||||
.. code-block:: bash
|
||||
|
||||
vi openfpga_flow/tasks/fpga_verilog/adder/hard_adder/latest/k6_frac_N10_tileable_adder_chain_40nm/and2/MIN_ROUTE_CHAN_WIDTH/SRC/sub_module/luts.v
|
||||
|
||||
Scrolling through ``luts.v``, this should be present in the file:
|
||||
|
||||
.. code-block:: verilog
|
||||
:emphasize-lines: 64,65,72,73,74,75,76,77,78,79,80
|
||||
|
||||
//-------------------------------------------
|
||||
// FPGA Synthesizable Verilog Netlist
|
||||
// Description: Look-Up Tables
|
||||
// Author: Xifan TANG
|
||||
// Organization: University of Utah
|
||||
// Date: Tue Mar 30 20:25:06 2021
|
||||
//-------------------------------------------
|
||||
//----- Time scale -----
|
||||
`timescale 1ns / 1ps
|
||||
|
||||
//----- Default net type -----
|
||||
`default_nettype none
|
||||
|
||||
// ----- Verilog module for frac_lut6 -----
|
||||
module frac_lut6(in,
|
||||
sram,
|
||||
sram_inv,
|
||||
mode,
|
||||
mode_inv,
|
||||
lut4_out,
|
||||
lut5_out,
|
||||
lut6_out);
|
||||
//----- INPUT PORTS -----
|
||||
input [0:5] in;
|
||||
//----- INPUT PORTS -----
|
||||
input [0:63] sram;
|
||||
//----- INPUT PORTS -----
|
||||
input [0:63] sram_inv;
|
||||
//----- INPUT PORTS -----
|
||||
input [0:1] mode;
|
||||
//----- INPUT PORTS -----
|
||||
input [0:1] mode_inv;
|
||||
//----- OUTPUT PORTS -----
|
||||
output [0:3] lut4_out;
|
||||
//----- OUTPUT PORTS -----
|
||||
output [0:1] lut5_out;
|
||||
//----- OUTPUT PORTS -----
|
||||
output [0:0] lut6_out;
|
||||
|
||||
//----- BEGIN wire-connection ports -----
|
||||
wire [0:5] in;
|
||||
wire [0:3] lut4_out;
|
||||
wire [0:1] lut5_out;
|
||||
wire [0:0] lut6_out;
|
||||
//----- END wire-connection ports -----
|
||||
|
||||
|
||||
//----- BEGIN Registered ports -----
|
||||
//----- END Registered ports -----
|
||||
|
||||
|
||||
wire [0:0] INVTX1_0_out;
|
||||
wire [0:0] INVTX1_1_out;
|
||||
wire [0:0] INVTX1_2_out;
|
||||
wire [0:0] INVTX1_3_out;
|
||||
wire [0:0] INVTX1_4_out;
|
||||
wire [0:0] INVTX1_5_out;
|
||||
wire [0:0] buf4_0_out;
|
||||
wire [0:0] buf4_1_out;
|
||||
wire [0:0] buf4_2_out;
|
||||
wire [0:0] buf4_3_out;
|
||||
wire [0:0] buf4_4_out;
|
||||
wire [0:0] buf4_5_out;
|
||||
wire [0:0] sky130_fd_sc_ls__or2_1_0_X;
|
||||
wire [0:0] sky130_fd_sc_ls__or2_1_1_X;
|
||||
|
||||
// ----- BEGIN Local short connections -----
|
||||
// ----- END Local short connections -----
|
||||
// ----- BEGIN Local output short connections -----
|
||||
// ----- END Local output short connections -----
|
||||
|
||||
sky130_fd_sc_ls__or2_1 sky130_fd_sc_ls__or2_1_0_ (
|
||||
.A(mode[0:0]),
|
||||
.B(in[4]),
|
||||
.X(sky130_fd_sc_ls__or2_1_0_X));
|
||||
|
||||
sky130_fd_sc_ls__or2_1 sky130_fd_sc_ls__or2_1_1_ (
|
||||
.A(mode[1]),
|
||||
.B(in[5]),
|
||||
.X(sky130_fd_sc_ls__or2_1_1_X));
|
||||
|
||||
INVTX1 INVTX1_0_ (
|
||||
.in(in[0:0]),
|
||||
.out(INVTX1_0_out));
|
||||
|
||||
INVTX1 INVTX1_1_ (
|
||||
.in(in[1]),
|
||||
.out(INVTX1_1_out));
|
||||
|
||||
INVTX1 INVTX1_2_ (
|
||||
.in(in[2]),
|
||||
.out(INVTX1_2_out));
|
||||
|
||||
INVTX1 INVTX1_3_ (
|
||||
.in(in[3]),
|
||||
.out(INVTX1_3_out));
|
||||
|
||||
INVTX1 INVTX1_4_ (
|
||||
.in(sky130_fd_sc_ls__or2_1_0_X),
|
||||
.out(INVTX1_4_out));
|
||||
|
||||
INVTX1 INVTX1_5_ (
|
||||
.in(sky130_fd_sc_ls__or2_1_1_X),
|
||||
.out(INVTX1_5_out));
|
||||
|
||||
buf4 buf4_0_ (
|
||||
.in(in[0:0]),
|
||||
.out(buf4_0_out));
|
||||
|
||||
buf4 buf4_1_ (
|
||||
.in(in[1]),
|
||||
.out(buf4_1_out));
|
||||
|
||||
buf4 buf4_2_ (
|
||||
.in(in[2]),
|
||||
.out(buf4_2_out));
|
||||
|
||||
buf4 buf4_3_ (
|
||||
.in(in[3]),
|
||||
.out(buf4_3_out));
|
||||
|
||||
buf4 buf4_4_ (
|
||||
.in(sky130_fd_sc_ls__or2_1_0_X),
|
||||
.out(buf4_4_out));
|
||||
|
||||
buf4 buf4_5_ (
|
||||
.in(sky130_fd_sc_ls__or2_1_1_X),
|
||||
.out(buf4_5_out));
|
||||
|
||||
frac_lut6_mux frac_lut6_mux_0_ (
|
||||
.in(sram[0:63]),
|
||||
.sram({buf4_0_out, buf4_1_out, buf4_2_out, buf4_3_out, buf4_4_out, buf4_5_out}),
|
||||
.sram_inv({INVTX1_0_out, INVTX1_1_out, INVTX1_2_out, INVTX1_3_out, INVTX1_4_out, INVTX1_5_out}),
|
||||
.lut4_out(lut4_out[0:3]),
|
||||
.lut5_out(lut5_out[0:1]),
|
||||
.lut6_out(lut6_out));
|
||||
|
||||
endmodule
|
||||
// ----- END Verilog module for frac_lut6 -----
|
||||
|
||||
//----- Default net type -----
|
||||
`default_nettype none
|
||||
|
||||
|
||||
We can check the waveforms as well to see if they are similar with the command:
|
||||
|
||||
.. code-block:: bash
|
||||
|
||||
gtkwave openfpga_flow/tasks/fpga_verilog/adder/hard_adder/latest/k6_frac_N10_tileable_adder_chain_40nm/and2/MIN_ROUTE_CHAN_WIDTH/and2_formal.vcd &
|
||||
|
||||
The simulation waveforms should look similar to the following :numref:`fig_custom_output`:
|
||||
|
||||
.. _fig_custom_output:
|
||||
|
||||
.. figure:: ./figures/Custom_Waves2.png
|
||||
:scale: 75%
|
||||
|
||||
Simulation Waveforms with Skywater PDK Circuit Model
|
||||
|
||||
We have now verified that the Skywater PDK Cell Library has been instantiated and bound to the OpenFPGA architecture file. If you have any problems, please reach out to us.
|
||||
|
||||
.. _Verification: https://openfpga.readthedocs.io/en/master/tutorials/design_flow/verilog2verification/
|
||||
|
||||
.. _PDK: https://github.com/google/skywater-pdk
|
||||
|
||||
.. _GTKWave: https://github.com/gtkwave/gtkwave
|
|
@ -3,18 +3,18 @@ Integrating Custom Verilog Modules with user_defined_template.v
|
|||
Introduction and Setup
|
||||
~~~~~~~~~~~~~~~~~~~~~~
|
||||
**In this tutorial, we will**
|
||||
- Provide motivation for generating the user_defined_template.v verilog file
|
||||
- Provide the motivation for generating the user_defined_template.v verilog file
|
||||
- Go through a generated user_defined_template.v file to demonstrate how to use it
|
||||
Through this example, we will motivate and show how to use the ``user_defined_template.v`` file.
|
||||
Through this example, we will show how and when to use the ``user_defined_template.v`` file.
|
||||
|
||||
For this examaple, we are using a modified version of the hard adder task that comes with OpenFPGA.
|
||||
For this example, we are using a modified version of the hard adder task that comes with OpenFPGA.
|
||||
To follow along, go to the root directory of OpenFPGA and enter:
|
||||
|
||||
.. code-block:: bash
|
||||
|
||||
vi openfpga_flow/openfpga_arch/k6_frac_N10_adder_chain_40nm_openfpga.xml
|
||||
|
||||
Go to **LINE187** and replace it with:
|
||||
Go to **LINE187** and replace **LINE187** with:
|
||||
|
||||
.. code-block:: XML
|
||||
|
||||
|
@ -22,13 +22,13 @@ Go to **LINE187** and replace it with:
|
|||
|
||||
Motivation
|
||||
~~~~~~~~~~
|
||||
From the OpenFPGA root directory run the command
|
||||
From the OpenFPGA root directory, run the command:
|
||||
|
||||
.. code-block:: bash
|
||||
|
||||
python3 openfpga_flow/scripts_run_fpga_task.py fpga_verilog/adder/hard_adder --debug --show_thread_logs
|
||||
|
||||
Running this command should fail and produce these errors:
|
||||
Running this command should fail and produce the following errors:
|
||||
|
||||
.. code-block:: bash
|
||||
|
||||
|
@ -58,13 +58,13 @@ Running this command should fail and produce these errors:
|
|||
ERROR - Current working directory : /research/ece/lnis/USERS/leaptrot/OpenFPGA/openfpga_flow/tasks/fpga_verilog/adder/hard_adder/run019/k6_frac_N10_tileable_adder_chain_40nm/and2/MIN_ROUTE_CHAN_WIDTH
|
||||
ERROR - Failed to run iverilog_verification task
|
||||
ERROR - Exiting . . . . . .
|
||||
This error log can be found by running the following command from the root directory:
|
||||
This error log can also be found by running the following command from the root directory:
|
||||
|
||||
.. code-block:: bash
|
||||
|
||||
cat openfpga_flow/tasks/fpga_verilog/adder/hard_adder/latest/00_and2_MIN_ROUTE_CHAN_WIDTH_out.log
|
||||
|
||||
This command failed during the verification step because the path to the module definition for **ADDF** is missing. In our architecture file, user-defined verilog modules are those ``<circuit_model>`` with the key term `verilog_netlist`. The ``user_defined_template.v`` file provides a module template for incorporating Hard IPs with no external library into the architecture.
|
||||
This command failed during the verification step because the path to the module definition for **ADDF** is missing. In our architecture file, user-defined verilog modules are those ``<circuit_model>`` with the key term `verilog_netlist`. The ``user_defined_template.v`` file provides a module template for incorporating Hard IPs without external library into the architecture.
|
||||
|
||||
Fixing the Error
|
||||
~~~~~~~~~~~~~~~~
|
||||
|
@ -80,6 +80,8 @@ Now we can return to the root directory and run this command again:
|
|||
.. code-block:: bash
|
||||
|
||||
python3 openfpga_flow/scripts_run_fpga_task.py fpga_verilog/adder/hard_adder --debug --show_thread_logs
|
||||
|
||||
The task should now complete without any errors.
|
||||
|
||||
Fixing the Error with user_defined_template.v
|
||||
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
|
@ -89,7 +91,7 @@ The `user_defined_template.v`_ file can be found starting from the root director
|
|||
|
||||
vi openfpga_flow/tasks/fpga_verilog/adder/hard_adder/latest/k6_frac_N10_tileable_adder_chain_40nm/and2/MIN_ROUTE_CHAN_WIDTH/SRC/sub_module/user_defined_template.v
|
||||
|
||||
.. note:: This file contains user-defined verilog modules that are found in the openfpga_cell_library with ports declaration (compatible with other netlists that are auto-generated by OpenFPGA) but without functionality. The file is used as a reference for engineers to check what is the port sequence required by top-level verilog netlists. This file can be included in simulation only if there are modifications to the file.
|
||||
.. note:: The ``user_defined_template.v`` file contains user-defined verilog modules that are found in the openfpga_cell_library with ports declaration (compatible with other netlists that are auto-generated by OpenFPGA) but without functionality. ``user_defined_template.v`` is used as a reference for engineers to check what is the port sequence required by top-level verilog netlists. ``user_defined_template.v`` can be included in simulation only if there are modifications to the ``user_defined_template.v``.
|
||||
|
||||
To implement our own **ADDF** module, we need to remove all other module definitions (they are already defined elsewhere and will cause an error if left in). Replace the ``user_defined_template.v`` file with the following:
|
||||
|
||||
|
|